gem5
v22.1.0.0
systemc
tests
systemc
misc
v1.0
dash5
driver.h
Go to the documentation of this file.
1
/*****************************************************************************
2
3
Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4
more contributor license agreements. See the NOTICE file distributed
5
with this work for additional information regarding copyright ownership.
6
Accellera licenses this file to you under the Apache License, Version 2.0
7
(the "License"); you may not use this file except in compliance with the
8
License. You may obtain a copy of the License at
9
10
http://www.apache.org/licenses/LICENSE-2.0
11
12
Unless required by applicable law or agreed to in writing, software
13
distributed under the License is distributed on an "AS IS" BASIS,
14
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15
implied. See the License for the specific language governing
16
permissions and limitations under the License.
17
18
*****************************************************************************/
19
20
/*****************************************************************************
21
22
driver.h -- Definition of the driver.
23
24
Original Author: Ali Dasdan, Synopsys, Inc.
25
26
*****************************************************************************/
27
28
/*****************************************************************************
29
30
MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31
changes you are making here.
32
33
Name, Affiliation, Date:
34
Description of Modification:
35
36
*****************************************************************************/
37
38
#ifndef DRIVER_H
39
#define DRIVER_H
40
41
SC_MODULE
( driver_mod )
42
{
43
// Input ports:
44
sc_in_clk
clk;
// Clock for the actions of the driver.
45
sc_in<double> speed;
46
sc_in<double> angle;
47
sc_in<double>
total
;
48
sc_in<double> partial;
49
50
// Output ports:
51
sc_out<bool>
reset
;
// Set if the driver wants to reset the partial
52
// distance odometer.
53
sc_out<int> speed_set;
// Speed of the car as set by the driver.
54
sc_out<bool> start;
// Set if the driver starts the car.
55
56
// Driver's actions.
57
void
driver_out_proc();
58
void
driver_in_proc();
59
60
SC_CTOR
( driver_mod )
61
{
62
SC_CTHREAD
( driver_out_proc, clk.pos() );
63
64
SC_METHOD
( driver_in_proc );
65
sensitive << speed << angle <<
total
<< partial;
66
}
67
};
68
69
#endif
gem5::statistics::reset
void reset()
Definition:
statistics.cc:310
gem5::statistics::total
const FlagsType total
Print the total.
Definition:
info.hh:60
sc_core::sc_in_clk
sc_in< bool > sc_in_clk
Definition:
sc_clock.hh:116
SC_METHOD
#define SC_METHOD(name)
Definition:
sc_module.hh:303
SC_CTHREAD
#define SC_CTHREAD(name, clk)
Definition:
sc_module.hh:323
SC_CTOR
#define SC_CTOR(name)
Definition:
sc_module.hh:297
SC_MODULE
SC_MODULE(driver)
Definition:
driver.h:43
Generated on Wed Dec 21 2022 10:22:48 for gem5 by
doxygen
1.9.1