gem5  v20.1.0.0
speed.h
Go to the documentation of this file.
1 /*****************************************************************************
2 
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements. See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License. You may obtain a copy of the License at
9 
10  http://www.apache.org/licenses/LICENSE-2.0
11 
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied. See the License for the specific language governing
16  permissions and limitations under the License.
17 
18  *****************************************************************************/
19 
20 /*****************************************************************************
21 
22  speed.h -- Definition of the speedometer.
23 
24  Original Author: Ali Dasdan, Synopsys, Inc.
25 
26  *****************************************************************************/
27 
28 /*****************************************************************************
29 
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32 
33  Name, Affiliation, Date:
34  Description of Modification:
35 
36  *****************************************************************************/
37 
38 #ifndef SPEED_H
39 #define SPEED_H
40 
41 SC_MODULE( speed_mod )
42 {
43  // Input ports:
44  sc_in_clk clk; // Clock to measure the time, needed to compute the speed.
45  sc_in<bool> start; // Becomes true if the car's started.
46  sc_in<bool> pulse; // Pulse coming from the pulse generator.
47 
48  // Output ports:
49  sc_out<double> speed; // Displayed speed.
50  sc_out<double> angle; // Displayed angle.
51 
52  // Internal signals:
53  sc_signal<int> elapsed_time;
54 
55  // Find the elapsed_time between NUM_PULSES_FOR_SPEED pulses.
56  void find_time_proc();
57 
58  // Compute speed.
59  void read_speed_proc();
60 
61  SC_CTOR( speed_mod )
62  {
63  SC_METHOD( find_time_proc );
64  sensitive << clk.pos();
65 
66  SC_THREAD( read_speed_proc );
67  sensitive << pulse.pos();
68 
69  elapsed_time = 0;
70  }
71 
72 };
73 
74 #endif
75 
SC_THREAD
#define SC_THREAD(name)
Definition: sc_module.hh:309
SC_CTOR
#define SC_CTOR(name)
Definition: sc_module.hh:293
SC_MODULE
SC_MODULE(speed_mod)
Definition: speed.h:41
SC_METHOD
#define SC_METHOD(name)
Definition: sc_module.hh:299
sc_core::sc_in_clk
sc_in< bool > sc_in_clk
Definition: sc_clock.hh:116

Generated on Wed Sep 30 2020 14:02:18 for gem5 by doxygen 1.8.17