gem5 v23.0.0.1
All Classes Namespaces Files Functions Variables Typedefs Enumerations Enumerator Friends Macros Modules Pages
biquad.h
Go to the documentation of this file.
1/*****************************************************************************
2
3 Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4 more contributor license agreements. See the NOTICE file distributed
5 with this work for additional information regarding copyright ownership.
6 Accellera licenses this file to you under the Apache License, Version 2.0
7 (the "License"); you may not use this file except in compliance with the
8 License. You may obtain a copy of the License at
9
10 http://www.apache.org/licenses/LICENSE-2.0
11
12 Unless required by applicable law or agreed to in writing, software
13 distributed under the License is distributed on an "AS IS" BASIS,
14 WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15 implied. See the License for the specific language governing
16 permissions and limitations under the License.
17
18 *****************************************************************************/
19
20/*****************************************************************************
21
22 biquad.h --
23
24 Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25
26 *****************************************************************************/
27
28/*****************************************************************************
29
30 MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31 changes you are making here.
32
33 Name, Affiliation, Date:
34 Description of Modification:
35
36 *****************************************************************************/
37
38/* Filename biquad.h */
39/* This is the interface file for synchronous process `biquad' */
40
41#include "systemc.h"
42
43SC_MODULE( biquad )
44{
45 SC_HAS_PROCESS( biquad );
46
47 sc_in_clk clk;
48
49 sc_in<float> in;
50 sc_in<bool> reset;
51 sc_out<float> out;
52
53 int num_taps; //internal variable
54 float Del[4]; //internal variable
55 float Cte[5]; //internal variable
56
57 // Constructor
58 biquad( sc_module_name NAME,
59 sc_clock& CLK,
60 sc_signal<float>& IN1,
61 sc_signal<bool>& RESET,
62 sc_signal<float>& OUT1 )
63 {
64 clk(CLK);
65 in(IN1); reset(RESET); out(OUT1);
66 SC_CTHREAD( entry, clk.pos() );
67 reset_signal_is(reset,true);
68 // initialize the coefficient matrix
69 Cte[0] = 1.0;
70 Cte[1] = 2.0;
71 Cte[2] = 1.0;
72 Cte[3] = 0.75;
73 Cte[4] = -0.125;
74 Del[0] = Del[1] = Del[2] = Del[3] = 0.0;
75 }
76
77 // Process functionality in member function below
78 void entry();
79};
#define SC_CTHREAD(name, clk)
Definition sc_module.hh:323
#define SC_MODULE(name)
Definition sc_module.hh:295
#define SC_HAS_PROCESS(name)
Definition sc_module.hh:301

Generated on Mon Jul 10 2023 15:32:06 for gem5 by doxygen 1.9.7