gem5  v21.0.1.0
pulse.h
Go to the documentation of this file.
1 /*****************************************************************************
2 
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements. See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License. You may obtain a copy of the License at
9 
10  http://www.apache.org/licenses/LICENSE-2.0
11 
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied. See the License for the specific language governing
16  permissions and limitations under the License.
17 
18  *****************************************************************************/
19 
20 /*****************************************************************************
21 
22  pulse.h -- Definition of the pulse generator.
23 
24  Original Author: Ali Dasdan, Synopsys, Inc.
25 
26  *****************************************************************************/
27 
28 /*****************************************************************************
29 
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32 
33  Name, Affiliation, Date:
34  Description of Modification:
35 
36  *****************************************************************************/
37 
38 #ifndef PULSE_H
39 #define PULSE_H
40 
41 SC_MODULE( gen_pulse_mod )
42 {
43  // Ports:
44  sc_in_clk clk; // Clock for the pulse generator.
45  sc_out<bool> speed_pulse; // Pulses for the speedometer.
46  sc_out<bool> dist_pulse; // Pulses for the odometers.
47 
48  // Find the pulse period to produce speed.
49  int find_period(int speed);
50 
51  // Generate pulses for speedometer and odometers.
52  void gen_pulse_proc();
53 
54  SC_CTOR( gen_pulse_mod )
55  {
56  SC_THREAD( gen_pulse_proc );
57  sensitive << clk;
58  }
59 
60 };
61 
62 #endif
63 
SC_THREAD
#define SC_THREAD(name)
Definition: sc_module.hh:309
SC_CTOR
#define SC_CTOR(name)
Definition: sc_module.hh:293
sc_core::sc_in_clk
sc_in< bool > sc_in_clk
Definition: sc_clock.hh:116
SC_MODULE
SC_MODULE(gen_pulse_mod)
Definition: pulse.h:41

Generated on Tue Jun 22 2021 15:28:33 for gem5 by doxygen 1.8.17