gem5  v20.1.0.0
Public Types | Public Member Functions | Public Attributes | Private Attributes | List of all members
SimpleLTTarget1 Class Reference

#include <SimpleLTTarget1.h>

Inheritance diagram for SimpleLTTarget1:
sc_core::sc_module tlm::tlm_fw_transport_if<> sc_core::sc_object tlm::tlm_fw_nonblocking_transport_if< tlm_base_protocol_types ::tlm_payload_type, tlm_base_protocol_types ::tlm_phase_type > tlm::tlm_blocking_transport_if< tlm_base_protocol_types ::tlm_payload_type > tlm::tlm_fw_direct_mem_if< tlm_base_protocol_types ::tlm_payload_type > tlm::tlm_transport_dbg_if< tlm_base_protocol_types ::tlm_payload_type > sc_core::sc_interface sc_core::sc_interface sc_core::sc_interface sc_core::sc_interface

Public Types

typedef tlm::tlm_generic_payload transaction_type
 
typedef tlm::tlm_phase phase_type
 
typedef tlm::tlm_sync_enum sync_enum_type
 
typedef tlm::tlm_fw_transport_if fw_interface_type
 
typedef tlm::tlm_bw_transport_if bw_interface_type
 
typedef tlm::tlm_target_socket< 32 > target_socket_type
 

Public Member Functions

 SC_HAS_PROCESS (SimpleLTTarget1)
 
 SimpleLTTarget1 (sc_core::sc_module_name name, bool invalidate=false)
 
sync_enum_type nb_transport_fw (transaction_type &trans, phase_type &phase, sc_core::sc_time &t)
 
void b_transport (transaction_type &trans, sc_core::sc_time &t)
 
unsigned int transport_dbg (transaction_type &r)
 
bool get_direct_mem_ptr (transaction_type &trans, tlm::tlm_dmi &dmi_data)
 
void invalidate_dmi_method ()
 
- Public Member Functions inherited from sc_core::sc_module
virtual ::Portgem5_getPort (const std::string &if_name, int idx=-1)
 
virtual ~sc_module ()
 
virtual const char * kind () const
 
void operator() (const sc_bind_proxy &p001, const sc_bind_proxy &p002=SC_BIND_PROXY_NIL, const sc_bind_proxy &p003=SC_BIND_PROXY_NIL, const sc_bind_proxy &p004=SC_BIND_PROXY_NIL, const sc_bind_proxy &p005=SC_BIND_PROXY_NIL, const sc_bind_proxy &p006=SC_BIND_PROXY_NIL, const sc_bind_proxy &p007=SC_BIND_PROXY_NIL, const sc_bind_proxy &p008=SC_BIND_PROXY_NIL, const sc_bind_proxy &p009=SC_BIND_PROXY_NIL, const sc_bind_proxy &p010=SC_BIND_PROXY_NIL, const sc_bind_proxy &p011=SC_BIND_PROXY_NIL, const sc_bind_proxy &p012=SC_BIND_PROXY_NIL, const sc_bind_proxy &p013=SC_BIND_PROXY_NIL, const sc_bind_proxy &p014=SC_BIND_PROXY_NIL, const sc_bind_proxy &p015=SC_BIND_PROXY_NIL, const sc_bind_proxy &p016=SC_BIND_PROXY_NIL, const sc_bind_proxy &p017=SC_BIND_PROXY_NIL, const sc_bind_proxy &p018=SC_BIND_PROXY_NIL, const sc_bind_proxy &p019=SC_BIND_PROXY_NIL, const sc_bind_proxy &p020=SC_BIND_PROXY_NIL, const sc_bind_proxy &p021=SC_BIND_PROXY_NIL, const sc_bind_proxy &p022=SC_BIND_PROXY_NIL, const sc_bind_proxy &p023=SC_BIND_PROXY_NIL, const sc_bind_proxy &p024=SC_BIND_PROXY_NIL, const sc_bind_proxy &p025=SC_BIND_PROXY_NIL, const sc_bind_proxy &p026=SC_BIND_PROXY_NIL, const sc_bind_proxy &p027=SC_BIND_PROXY_NIL, const sc_bind_proxy &p028=SC_BIND_PROXY_NIL, const sc_bind_proxy &p029=SC_BIND_PROXY_NIL, const sc_bind_proxy &p030=SC_BIND_PROXY_NIL, const sc_bind_proxy &p031=SC_BIND_PROXY_NIL, const sc_bind_proxy &p032=SC_BIND_PROXY_NIL, const sc_bind_proxy &p033=SC_BIND_PROXY_NIL, const sc_bind_proxy &p034=SC_BIND_PROXY_NIL, const sc_bind_proxy &p035=SC_BIND_PROXY_NIL, const sc_bind_proxy &p036=SC_BIND_PROXY_NIL, const sc_bind_proxy &p037=SC_BIND_PROXY_NIL, const sc_bind_proxy &p038=SC_BIND_PROXY_NIL, const sc_bind_proxy &p039=SC_BIND_PROXY_NIL, const sc_bind_proxy &p040=SC_BIND_PROXY_NIL, const sc_bind_proxy &p041=SC_BIND_PROXY_NIL, const sc_bind_proxy &p042=SC_BIND_PROXY_NIL, const sc_bind_proxy &p043=SC_BIND_PROXY_NIL, const sc_bind_proxy &p044=SC_BIND_PROXY_NIL, const sc_bind_proxy &p045=SC_BIND_PROXY_NIL, const sc_bind_proxy &p046=SC_BIND_PROXY_NIL, const sc_bind_proxy &p047=SC_BIND_PROXY_NIL, const sc_bind_proxy &p048=SC_BIND_PROXY_NIL, const sc_bind_proxy &p049=SC_BIND_PROXY_NIL, const sc_bind_proxy &p050=SC_BIND_PROXY_NIL, const sc_bind_proxy &p051=SC_BIND_PROXY_NIL, const sc_bind_proxy &p052=SC_BIND_PROXY_NIL, const sc_bind_proxy &p053=SC_BIND_PROXY_NIL, const sc_bind_proxy &p054=SC_BIND_PROXY_NIL, const sc_bind_proxy &p055=SC_BIND_PROXY_NIL, const sc_bind_proxy &p056=SC_BIND_PROXY_NIL, const sc_bind_proxy &p057=SC_BIND_PROXY_NIL, const sc_bind_proxy &p058=SC_BIND_PROXY_NIL, const sc_bind_proxy &p059=SC_BIND_PROXY_NIL, const sc_bind_proxy &p060=SC_BIND_PROXY_NIL, const sc_bind_proxy &p061=SC_BIND_PROXY_NIL, const sc_bind_proxy &p062=SC_BIND_PROXY_NIL, const sc_bind_proxy &p063=SC_BIND_PROXY_NIL, const sc_bind_proxy &p064=SC_BIND_PROXY_NIL)
 
sc_moduleoperator<< (sc_interface &)
 
sc_moduleoperator<< (sc_port_base &)
 
sc_moduleoperator, (sc_interface &)
 
sc_moduleoperator, (sc_port_base &)
 
virtual const std::vector< sc_object * > & get_child_objects () const
 
virtual const std::vector< sc_event * > & get_child_events () const
 
- Public Member Functions inherited from sc_core::sc_object
const char * name () const
 
const char * basename () const
 
virtual void print (std::ostream &=std::cout) const
 
virtual void dump (std::ostream &=std::cout) const
 
sc_objectget_parent_object () const
 
bool add_attribute (sc_attr_base &)
 
sc_attr_baseget_attribute (const std::string &)
 
sc_attr_baseremove_attribute (const std::string &)
 
void remove_all_attributes ()
 
int num_attributes () const
 
sc_attr_cltnattr_cltn ()
 
const sc_attr_cltnattr_cltn () const
 
sc_simcontextsimcontext () const
 
- Public Member Functions inherited from tlm::tlm_fw_nonblocking_transport_if< tlm_base_protocol_types ::tlm_payload_type, tlm_base_protocol_types ::tlm_phase_type >
virtual tlm_sync_enum nb_transport_fw (tlm_base_protocol_types ::tlm_payload_type &trans, tlm_base_protocol_types ::tlm_phase_type &phase, sc_core::sc_time &t)=0
 
- Public Member Functions inherited from sc_core::sc_interface
virtual void register_port (sc_port_base &, const char *)
 
virtual const sc_eventdefault_event () const
 
virtual ~sc_interface ()
 
- Public Member Functions inherited from tlm::tlm_blocking_transport_if< tlm_base_protocol_types ::tlm_payload_type >
virtual void b_transport (tlm_base_protocol_types ::tlm_payload_type &trans, sc_core::sc_time &t)=0
 
- Public Member Functions inherited from tlm::tlm_fw_direct_mem_if< tlm_base_protocol_types ::tlm_payload_type >
virtual bool get_direct_mem_ptr (tlm_base_protocol_types ::tlm_payload_type &trans, tlm_dmi &dmi_data)=0
 
- Public Member Functions inherited from tlm::tlm_transport_dbg_if< tlm_base_protocol_types ::tlm_payload_type >
virtual unsigned int transport_dbg (tlm_base_protocol_types ::tlm_payload_type &trans)=0
 

Public Attributes

target_socket_type socket
 

Private Attributes

unsigned char mMem [400]
 
bool m_invalidate
 
sc_core::sc_event m_invalidate_dmi_event
 
sc_core::sc_time m_invalidate_dmi_time
 

Additional Inherited Members

- Protected Member Functions inherited from sc_core::sc_module
 sc_module (const sc_module_name &)
 
 sc_module ()
 
 sc_module (const char *)
 
 sc_module (const std::string &)
 
void end_module ()
 
void reset_signal_is (const sc_in< bool > &, bool)
 
void reset_signal_is (const sc_inout< bool > &, bool)
 
void reset_signal_is (const sc_out< bool > &, bool)
 
void reset_signal_is (const sc_signal_in_if< bool > &, bool)
 
void async_reset_signal_is (const sc_in< bool > &, bool)
 
void async_reset_signal_is (const sc_inout< bool > &, bool)
 
void async_reset_signal_is (const sc_out< bool > &, bool)
 
void async_reset_signal_is (const sc_signal_in_if< bool > &, bool)
 
void dont_initialize ()
 
void set_stack_size (size_t)
 
void next_trigger ()
 
void next_trigger (const sc_event &)
 
void next_trigger (const sc_event_or_list &)
 
void next_trigger (const sc_event_and_list &)
 
void next_trigger (const sc_time &)
 
void next_trigger (double, sc_time_unit)
 
void next_trigger (const sc_time &, const sc_event &)
 
void next_trigger (double, sc_time_unit, const sc_event &)
 
void next_trigger (const sc_time &, const sc_event_or_list &)
 
void next_trigger (double, sc_time_unit, const sc_event_or_list &)
 
void next_trigger (const sc_time &, const sc_event_and_list &)
 
void next_trigger (double, sc_time_unit, const sc_event_and_list &)
 
bool timed_out ()
 
void wait ()
 
void wait (int)
 
void wait (const sc_event &)
 
void wait (const sc_event_or_list &)
 
void wait (const sc_event_and_list &)
 
void wait (const sc_time &)
 
void wait (double, sc_time_unit)
 
void wait (const sc_time &, const sc_event &)
 
void wait (double, sc_time_unit, const sc_event &)
 
void wait (const sc_time &, const sc_event_or_list &)
 
void wait (double, sc_time_unit, const sc_event_or_list &)
 
void wait (const sc_time &, const sc_event_and_list &)
 
void wait (double, sc_time_unit, const sc_event_and_list &)
 
void halt ()
 
void at_posedge (const sc_signal_in_if< bool > &)
 
void at_posedge (const sc_signal_in_if< sc_dt::sc_logic > &)
 
void at_negedge (const sc_signal_in_if< bool > &)
 
void at_negedge (const sc_signal_in_if< sc_dt::sc_logic > &)
 
virtual void before_end_of_elaboration ()
 
virtual void end_of_elaboration ()
 
virtual void start_of_simulation ()
 
virtual void end_of_simulation ()
 
- Protected Member Functions inherited from sc_core::sc_object
 sc_object ()
 
 sc_object (const char *)
 
 sc_object (const sc_object &)
 
sc_objectoperator= (const sc_object &)
 
virtual ~sc_object ()
 
- Protected Member Functions inherited from sc_core::sc_interface
 sc_interface ()
 
- Protected Attributes inherited from sc_core::sc_module
sc_sensitive sensitive
 

Detailed Description

Definition at line 27 of file SimpleLTTarget1.h.

Member Typedef Documentation

◆ bw_interface_type

Definition at line 53 of file SimpleLTTarget1.h.

◆ fw_interface_type

Definition at line 52 of file SimpleLTTarget1.h.

◆ phase_type

Definition at line 50 of file SimpleLTTarget1.h.

◆ sync_enum_type

Definition at line 51 of file SimpleLTTarget1.h.

◆ target_socket_type

Definition at line 54 of file SimpleLTTarget1.h.

◆ transaction_type

Definition at line 49 of file SimpleLTTarget1.h.

Constructor & Destructor Documentation

◆ SimpleLTTarget1()

SimpleLTTarget1::SimpleLTTarget1 ( sc_core::sc_module_name  name,
bool  invalidate = false 
)
inline

Definition at line 61 of file SimpleLTTarget1.h.

References b_transport(), ArmISA::t, and tlm::TLM_COMPLETED.

Member Function Documentation

◆ b_transport()

void SimpleLTTarget1::b_transport ( transaction_type trans,
sc_core::sc_time t 
)
inline

Definition at line 85 of file SimpleLTTarget1.h.

Referenced by SimpleLTTarget1().

◆ get_direct_mem_ptr()

bool SimpleLTTarget1::get_direct_mem_ptr ( transaction_type trans,
tlm::tlm_dmi dmi_data 
)
inline

Definition at line 139 of file SimpleLTTarget1.h.

◆ invalidate_dmi_method()

void SimpleLTTarget1::invalidate_dmi_method ( )
inline

Definition at line 162 of file SimpleLTTarget1.h.

◆ nb_transport_fw()

sync_enum_type SimpleLTTarget1::nb_transport_fw ( transaction_type trans,
phase_type phase,
sc_core::sc_time t 
)
inline

Definition at line 77 of file SimpleLTTarget1.h.

◆ SC_HAS_PROCESS()

SimpleLTTarget1::SC_HAS_PROCESS ( SimpleLTTarget1  )

◆ transport_dbg()

unsigned int SimpleLTTarget1::transport_dbg ( transaction_type r)
inline

Definition at line 114 of file SimpleLTTarget1.h.

Member Data Documentation

◆ m_invalidate

bool SimpleLTTarget1::m_invalidate
private

Definition at line 170 of file SimpleLTTarget1.h.

◆ m_invalidate_dmi_event

sc_core::sc_event SimpleLTTarget1::m_invalidate_dmi_event
private

Definition at line 171 of file SimpleLTTarget1.h.

◆ m_invalidate_dmi_time

sc_core::sc_time SimpleLTTarget1::m_invalidate_dmi_time
private

Definition at line 172 of file SimpleLTTarget1.h.

◆ mMem

unsigned char SimpleLTTarget1::mMem[400]
private

Definition at line 169 of file SimpleLTTarget1.h.

◆ socket

target_socket_type SimpleLTTarget1::socket

Definition at line 57 of file SimpleLTTarget1.h.


The documentation for this class was generated from the following file:

Generated on Wed Sep 30 2020 14:02:31 for gem5 by doxygen 1.8.17