gem5  v20.1.0.0
Public Member Functions | Protected Member Functions | Protected Attributes | Private Member Functions | Private Attributes | Friends | List of all members
sc_core::sc_module Class Reference

#include <sc_module.hh>

Inheritance diagram for sc_core::sc_module:
sc_core::sc_object adapt_ext2gp< BUSWIDTH > adapt_gp2ext< BUSWIDTH > CoreDecouplingLTInitiator ExplicitATTarget ExplicitLTTarget FastModel::SCGIC::Terminator MultiSocketSimpleSwitchAT sc_core::sc_event_queue sc_gem5::Gem5ToTlmBridgeBase sc_gem5::TlmToGem5BridgeBase SimpleATInitiator1 SimpleATInitiator2 SimpleATTarget1 SimpleATTarget2 SimpleBusAT< NR_OF_INITIATORS, NR_OF_TARGETS > SimpleBusLT< NR_OF_INITIATORS, NR_OF_TARGETS > SimpleInitiatorWrapper SimpleLTInitiator1 SimpleLTInitiator1_dmi SimpleLTInitiator2 SimpleLTInitiator2_dmi SimpleLTInitiator3 SimpleLTInitiator3_dmi SimpleLTInitiator_ext SimpleLTTarget1 SimpleLTTarget2 SimpleLTTarget_ext SimpleTargetWrapper tlm::tlm_req_rsp_channel< REQ, RSP, REQ_CHANNEL, RSP_CHANNEL > tlm::tlm_slave_to_transport< REQ, RSP > tlm::tlm_transport_channel< REQ, RSP, REQ_CHANNEL, RSP_CHANNEL > tlm::tlm_transport_to_master< REQ, RSP > tlm::tlm_req_rsp_channel< REQ, RSP, tlm_fifo< REQ >, tlm_fifo< RSP > >

Public Member Functions

virtual ::Portgem5_getPort (const std::string &if_name, int idx=-1)
 
virtual ~sc_module ()
 
virtual const char * kind () const
 
void operator() (const sc_bind_proxy &p001, const sc_bind_proxy &p002=SC_BIND_PROXY_NIL, const sc_bind_proxy &p003=SC_BIND_PROXY_NIL, const sc_bind_proxy &p004=SC_BIND_PROXY_NIL, const sc_bind_proxy &p005=SC_BIND_PROXY_NIL, const sc_bind_proxy &p006=SC_BIND_PROXY_NIL, const sc_bind_proxy &p007=SC_BIND_PROXY_NIL, const sc_bind_proxy &p008=SC_BIND_PROXY_NIL, const sc_bind_proxy &p009=SC_BIND_PROXY_NIL, const sc_bind_proxy &p010=SC_BIND_PROXY_NIL, const sc_bind_proxy &p011=SC_BIND_PROXY_NIL, const sc_bind_proxy &p012=SC_BIND_PROXY_NIL, const sc_bind_proxy &p013=SC_BIND_PROXY_NIL, const sc_bind_proxy &p014=SC_BIND_PROXY_NIL, const sc_bind_proxy &p015=SC_BIND_PROXY_NIL, const sc_bind_proxy &p016=SC_BIND_PROXY_NIL, const sc_bind_proxy &p017=SC_BIND_PROXY_NIL, const sc_bind_proxy &p018=SC_BIND_PROXY_NIL, const sc_bind_proxy &p019=SC_BIND_PROXY_NIL, const sc_bind_proxy &p020=SC_BIND_PROXY_NIL, const sc_bind_proxy &p021=SC_BIND_PROXY_NIL, const sc_bind_proxy &p022=SC_BIND_PROXY_NIL, const sc_bind_proxy &p023=SC_BIND_PROXY_NIL, const sc_bind_proxy &p024=SC_BIND_PROXY_NIL, const sc_bind_proxy &p025=SC_BIND_PROXY_NIL, const sc_bind_proxy &p026=SC_BIND_PROXY_NIL, const sc_bind_proxy &p027=SC_BIND_PROXY_NIL, const sc_bind_proxy &p028=SC_BIND_PROXY_NIL, const sc_bind_proxy &p029=SC_BIND_PROXY_NIL, const sc_bind_proxy &p030=SC_BIND_PROXY_NIL, const sc_bind_proxy &p031=SC_BIND_PROXY_NIL, const sc_bind_proxy &p032=SC_BIND_PROXY_NIL, const sc_bind_proxy &p033=SC_BIND_PROXY_NIL, const sc_bind_proxy &p034=SC_BIND_PROXY_NIL, const sc_bind_proxy &p035=SC_BIND_PROXY_NIL, const sc_bind_proxy &p036=SC_BIND_PROXY_NIL, const sc_bind_proxy &p037=SC_BIND_PROXY_NIL, const sc_bind_proxy &p038=SC_BIND_PROXY_NIL, const sc_bind_proxy &p039=SC_BIND_PROXY_NIL, const sc_bind_proxy &p040=SC_BIND_PROXY_NIL, const sc_bind_proxy &p041=SC_BIND_PROXY_NIL, const sc_bind_proxy &p042=SC_BIND_PROXY_NIL, const sc_bind_proxy &p043=SC_BIND_PROXY_NIL, const sc_bind_proxy &p044=SC_BIND_PROXY_NIL, const sc_bind_proxy &p045=SC_BIND_PROXY_NIL, const sc_bind_proxy &p046=SC_BIND_PROXY_NIL, const sc_bind_proxy &p047=SC_BIND_PROXY_NIL, const sc_bind_proxy &p048=SC_BIND_PROXY_NIL, const sc_bind_proxy &p049=SC_BIND_PROXY_NIL, const sc_bind_proxy &p050=SC_BIND_PROXY_NIL, const sc_bind_proxy &p051=SC_BIND_PROXY_NIL, const sc_bind_proxy &p052=SC_BIND_PROXY_NIL, const sc_bind_proxy &p053=SC_BIND_PROXY_NIL, const sc_bind_proxy &p054=SC_BIND_PROXY_NIL, const sc_bind_proxy &p055=SC_BIND_PROXY_NIL, const sc_bind_proxy &p056=SC_BIND_PROXY_NIL, const sc_bind_proxy &p057=SC_BIND_PROXY_NIL, const sc_bind_proxy &p058=SC_BIND_PROXY_NIL, const sc_bind_proxy &p059=SC_BIND_PROXY_NIL, const sc_bind_proxy &p060=SC_BIND_PROXY_NIL, const sc_bind_proxy &p061=SC_BIND_PROXY_NIL, const sc_bind_proxy &p062=SC_BIND_PROXY_NIL, const sc_bind_proxy &p063=SC_BIND_PROXY_NIL, const sc_bind_proxy &p064=SC_BIND_PROXY_NIL)
 
sc_moduleoperator<< (sc_interface &)
 
sc_moduleoperator<< (sc_port_base &)
 
sc_moduleoperator, (sc_interface &)
 
sc_moduleoperator, (sc_port_base &)
 
virtual const std::vector< sc_object * > & get_child_objects () const
 
virtual const std::vector< sc_event * > & get_child_events () const
 
- Public Member Functions inherited from sc_core::sc_object
const char * name () const
 
const char * basename () const
 
virtual void print (std::ostream &=std::cout) const
 
virtual void dump (std::ostream &=std::cout) const
 
sc_objectget_parent_object () const
 
bool add_attribute (sc_attr_base &)
 
sc_attr_baseget_attribute (const std::string &)
 
sc_attr_baseremove_attribute (const std::string &)
 
void remove_all_attributes ()
 
int num_attributes () const
 
sc_attr_cltnattr_cltn ()
 
const sc_attr_cltnattr_cltn () const
 
sc_simcontextsimcontext () const
 

Protected Member Functions

 sc_module (const sc_module_name &)
 
 sc_module ()
 
 sc_module (const char *)
 
 sc_module (const std::string &)
 
void end_module ()
 
void reset_signal_is (const sc_in< bool > &, bool)
 
void reset_signal_is (const sc_inout< bool > &, bool)
 
void reset_signal_is (const sc_out< bool > &, bool)
 
void reset_signal_is (const sc_signal_in_if< bool > &, bool)
 
void async_reset_signal_is (const sc_in< bool > &, bool)
 
void async_reset_signal_is (const sc_inout< bool > &, bool)
 
void async_reset_signal_is (const sc_out< bool > &, bool)
 
void async_reset_signal_is (const sc_signal_in_if< bool > &, bool)
 
void dont_initialize ()
 
void set_stack_size (size_t)
 
void next_trigger ()
 
void next_trigger (const sc_event &)
 
void next_trigger (const sc_event_or_list &)
 
void next_trigger (const sc_event_and_list &)
 
void next_trigger (const sc_time &)
 
void next_trigger (double, sc_time_unit)
 
void next_trigger (const sc_time &, const sc_event &)
 
void next_trigger (double, sc_time_unit, const sc_event &)
 
void next_trigger (const sc_time &, const sc_event_or_list &)
 
void next_trigger (double, sc_time_unit, const sc_event_or_list &)
 
void next_trigger (const sc_time &, const sc_event_and_list &)
 
void next_trigger (double, sc_time_unit, const sc_event_and_list &)
 
bool timed_out ()
 
void wait ()
 
void wait (int)
 
void wait (const sc_event &)
 
void wait (const sc_event_or_list &)
 
void wait (const sc_event_and_list &)
 
void wait (const sc_time &)
 
void wait (double, sc_time_unit)
 
void wait (const sc_time &, const sc_event &)
 
void wait (double, sc_time_unit, const sc_event &)
 
void wait (const sc_time &, const sc_event_or_list &)
 
void wait (double, sc_time_unit, const sc_event_or_list &)
 
void wait (const sc_time &, const sc_event_and_list &)
 
void wait (double, sc_time_unit, const sc_event_and_list &)
 
void halt ()
 
void at_posedge (const sc_signal_in_if< bool > &)
 
void at_posedge (const sc_signal_in_if< sc_dt::sc_logic > &)
 
void at_negedge (const sc_signal_in_if< bool > &)
 
void at_negedge (const sc_signal_in_if< sc_dt::sc_logic > &)
 
virtual void before_end_of_elaboration ()
 
virtual void end_of_elaboration ()
 
virtual void start_of_simulation ()
 
virtual void end_of_simulation ()
 
- Protected Member Functions inherited from sc_core::sc_object
 sc_object ()
 
 sc_object (const char *)
 
 sc_object (const sc_object &)
 
sc_objectoperator= (const sc_object &)
 
virtual ~sc_object ()
 

Protected Attributes

sc_sensitive sensitive
 

Private Member Functions

 sc_module (const sc_module &)
 
sc_moduleoperator= (const sc_module &)
 

Private Attributes

sc_gem5::Module_gem5_module
 

Friends

class ::sc_gem5::Kernel
 
class ::sc_gem5::Module
 

Detailed Description

Definition at line 97 of file sc_module.hh.

Constructor & Destructor Documentation

◆ ~sc_module()

sc_module::~sc_module ( )
virtual

Definition at line 122 of file sc_module.cc.

References _gem5_module.

◆ sc_module() [1/5]

sc_module::sc_module ( const sc_module_name )
protected

Definition at line 266 of file sc_module.cc.

◆ sc_module() [2/5]

sc_module::sc_module ( )
protected

◆ sc_module() [3/5]

sc_module::sc_module ( const char *  _name)
protected

◆ sc_module() [4/5]

sc_module::sc_module ( const std::string &  _name)
protected

◆ sc_module() [5/5]

sc_core::sc_module::sc_module ( const sc_module )
inlineprivate

Definition at line 257 of file sc_module.hh.

Member Function Documentation

◆ async_reset_signal_is() [1/4]

void sc_module::async_reset_signal_is ( const sc_in< bool > &  port,
bool  val 
)
protected

Definition at line 311 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ async_reset_signal_is() [2/4]

void sc_module::async_reset_signal_is ( const sc_inout< bool > &  port,
bool  val 
)
protected

Definition at line 317 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ async_reset_signal_is() [3/4]

void sc_module::async_reset_signal_is ( const sc_out< bool > &  port,
bool  val 
)
protected

Definition at line 323 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ async_reset_signal_is() [4/4]

void sc_module::async_reset_signal_is ( const sc_signal_in_if< bool > &  signal,
bool  val 
)
protected

Definition at line 329 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ at_negedge() [1/2]

void sc_module::at_negedge ( const sc_signal_in_if< bool > &  s)
protected

Definition at line 525 of file sc_module.cc.

References sc_core::at_negedge(), and ArmISA::s.

◆ at_negedge() [2/2]

void sc_module::at_negedge ( const sc_signal_in_if< sc_dt::sc_logic > &  s)
protected

Definition at line 531 of file sc_module.cc.

References sc_core::at_negedge(), and ArmISA::s.

◆ at_posedge() [1/2]

void sc_module::at_posedge ( const sc_signal_in_if< bool > &  s)
protected

Definition at line 513 of file sc_module.cc.

References sc_core::at_posedge(), and ArmISA::s.

◆ at_posedge() [2/2]

void sc_module::at_posedge ( const sc_signal_in_if< sc_dt::sc_logic > &  s)
protected

Definition at line 519 of file sc_module.cc.

References sc_core::at_posedge(), and ArmISA::s.

◆ before_end_of_elaboration()

virtual void sc_core::sc_module::before_end_of_elaboration ( )
inlineprotectedvirtual

◆ dont_initialize()

void sc_module::dont_initialize ( )
protected

◆ end_module()

void sc_module::end_module ( )
protected

Definition at line 280 of file sc_module.cc.

References _gem5_module, and sc_gem5::Module::endModule().

◆ end_of_elaboration()

virtual void sc_core::sc_module::end_of_elaboration ( )
inlineprotectedvirtual

Definition at line 249 of file sc_module.hh.

Referenced by sc_gem5::Module::endOfElaboration().

◆ end_of_simulation()

virtual void sc_core::sc_module::end_of_simulation ( )
inlineprotectedvirtual

◆ gem5_getPort()

Port & sc_module::gem5_getPort ( const std::string &  if_name,
int  idx = -1 
)

◆ get_child_events()

const std::vector< sc_event * > & sc_module::get_child_events ( ) const
virtual

Reimplemented from sc_core::sc_object.

Definition at line 251 of file sc_module.cc.

References _gem5_module, sc_gem5::Object::get_child_events(), and sc_gem5::Module::obj().

Referenced by Iris::BaseCPU::BaseCPU().

◆ get_child_objects()

const std::vector< sc_object * > & sc_module::get_child_objects ( ) const
virtual

Reimplemented from sc_core::sc_object.

Definition at line 245 of file sc_module.cc.

References _gem5_module, sc_gem5::Object::get_child_objects(), and sc_gem5::Module::obj().

◆ halt()

void sc_module::halt ( )
protected

Definition at line 507 of file sc_module.cc.

References sc_core::halt().

◆ kind()

virtual const char* sc_core::sc_module::kind ( ) const
inlinevirtual

Reimplemented from sc_core::sc_object.

Reimplemented in sc_core::sc_event_queue.

Definition at line 109 of file sc_module.hh.

◆ next_trigger() [1/12]

void sc_module::next_trigger ( )
protected

Definition at line 351 of file sc_module.cc.

References sc_core::next_trigger().

◆ next_trigger() [2/12]

void sc_module::next_trigger ( const sc_event e)
protected

Definition at line 354 of file sc_module.cc.

References ArmISA::e, and sc_core::next_trigger().

◆ next_trigger() [3/12]

void sc_module::next_trigger ( const sc_event_and_list eal)
protected

Definition at line 366 of file sc_module.cc.

References sc_core::next_trigger().

◆ next_trigger() [4/12]

void sc_module::next_trigger ( const sc_event_or_list eol)
protected

Definition at line 360 of file sc_module.cc.

References sc_core::next_trigger().

◆ next_trigger() [5/12]

void sc_module::next_trigger ( const sc_time t)
protected

Definition at line 372 of file sc_module.cc.

References sc_core::next_trigger(), and ArmISA::t.

◆ next_trigger() [6/12]

void sc_module::next_trigger ( const sc_time t,
const sc_event e 
)
protected

Definition at line 384 of file sc_module.cc.

References ArmISA::e, sc_core::next_trigger(), and ArmISA::t.

◆ next_trigger() [7/12]

void sc_module::next_trigger ( const sc_time t,
const sc_event_and_list eal 
)
protected

Definition at line 408 of file sc_module.cc.

References sc_core::next_trigger(), and ArmISA::t.

◆ next_trigger() [8/12]

void sc_module::next_trigger ( const sc_time t,
const sc_event_or_list eol 
)
protected

Definition at line 396 of file sc_module.cc.

References sc_core::next_trigger(), and ArmISA::t.

◆ next_trigger() [9/12]

void sc_module::next_trigger ( double  d,
sc_time_unit  u 
)
protected

Definition at line 378 of file sc_module.cc.

References ArmISA::d, sc_core::next_trigger(), and ArmISA::u.

◆ next_trigger() [10/12]

void sc_module::next_trigger ( double  d,
sc_time_unit  u,
const sc_event e 
)
protected

Definition at line 390 of file sc_module.cc.

References ArmISA::d, ArmISA::e, sc_core::next_trigger(), and ArmISA::u.

◆ next_trigger() [11/12]

void sc_module::next_trigger ( double  d,
sc_time_unit  u,
const sc_event_and_list eal 
)
protected

Definition at line 414 of file sc_module.cc.

References ArmISA::d, sc_core::next_trigger(), and ArmISA::u.

◆ next_trigger() [12/12]

void sc_module::next_trigger ( double  d,
sc_time_unit  u,
const sc_event_or_list eol 
)
protected

Definition at line 402 of file sc_module.cc.

References ArmISA::d, sc_core::next_trigger(), and ArmISA::u.

◆ operator()()

void sc_module::operator() ( const sc_bind_proxy p001,
const sc_bind_proxy p002 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p003 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p004 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p005 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p006 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p007 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p008 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p009 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p010 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p011 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p012 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p013 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p014 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p015 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p016 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p017 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p018 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p019 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p020 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p021 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p022 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p023 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p024 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p025 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p026 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p027 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p028 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p029 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p030 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p031 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p032 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p033 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p034 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p035 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p036 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p037 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p038 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p039 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p040 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p041 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p042 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p043 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p044 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p045 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p046 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p047 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p048 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p049 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p050 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p051 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p052 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p053 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p054 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p055 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p056 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p057 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p058 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p059 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p060 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p061 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p062 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p063 = SC_BIND_PROXY_NIL,
const sc_bind_proxy p064 = SC_BIND_PROXY_NIL 
)

Definition at line 125 of file sc_module.cc.

References _gem5_module, sc_gem5::Module::bindPorts(), and MipsISA::p.

◆ operator,() [1/2]

sc_module & sc_module::operator, ( sc_interface iface)

Definition at line 231 of file sc_module.cc.

◆ operator,() [2/2]

sc_module & sc_module::operator, ( sc_port_base pb)

Definition at line 238 of file sc_module.cc.

◆ operator<<() [1/2]

sc_module & sc_module::operator<< ( sc_interface iface)

Definition at line 217 of file sc_module.cc.

◆ operator<<() [2/2]

sc_module & sc_module::operator<< ( sc_port_base pb)

Definition at line 224 of file sc_module.cc.

◆ operator=()

sc_module& sc_core::sc_module::operator= ( const sc_module )
inlineprivate

Definition at line 258 of file sc_module.hh.

◆ reset_signal_is() [1/4]

void sc_module::reset_signal_is ( const sc_in< bool > &  port,
bool  val 
)
protected

Definition at line 286 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ reset_signal_is() [2/4]

void sc_module::reset_signal_is ( const sc_inout< bool > &  port,
bool  val 
)
protected

Definition at line 292 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ reset_signal_is() [3/4]

void sc_module::reset_signal_is ( const sc_out< bool > &  port,
bool  val 
)
protected

Definition at line 298 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ reset_signal_is() [4/4]

void sc_module::reset_signal_is ( const sc_signal_in_if< bool > &  signal,
bool  val 
)
protected

Definition at line 304 of file sc_module.cc.

References sc_gem5::Process::newest(), sc_gem5::newReset(), and X86ISA::val.

◆ set_stack_size()

void sc_module::set_stack_size ( size_t  size)
protected

Definition at line 345 of file sc_module.cc.

References sc_gem5::Process::newest(), and sc_gem5::Process::setStackSize().

◆ start_of_simulation()

virtual void sc_core::sc_module::start_of_simulation ( )
inlineprotectedvirtual

Reimplemented in MultiSocketSimpleSwitchAT.

Definition at line 250 of file sc_module.hh.

Referenced by sc_gem5::Module::startOfSimulation().

◆ timed_out()

bool sc_module::timed_out ( )
protected

Definition at line 421 of file sc_module.cc.

References sc_core::timed_out().

◆ wait() [1/13]

void sc_module::wait ( )
protected

◆ wait() [2/13]

void sc_module::wait ( const sc_event e)
protected

Definition at line 440 of file sc_module.cc.

References ArmISA::e, and sc_core::wait().

◆ wait() [3/13]

void sc_module::wait ( const sc_event_and_list eal)
protected

Definition at line 452 of file sc_module.cc.

References sc_core::wait().

◆ wait() [4/13]

void sc_module::wait ( const sc_event_or_list eol)
protected

Definition at line 446 of file sc_module.cc.

References sc_core::wait().

◆ wait() [5/13]

void sc_module::wait ( const sc_time t)
protected

Definition at line 458 of file sc_module.cc.

References ArmISA::t, and sc_core::wait().

◆ wait() [6/13]

void sc_module::wait ( const sc_time t,
const sc_event e 
)
protected

Definition at line 470 of file sc_module.cc.

References ArmISA::e, ArmISA::t, and sc_core::wait().

◆ wait() [7/13]

void sc_module::wait ( const sc_time t,
const sc_event_and_list eal 
)
protected

Definition at line 494 of file sc_module.cc.

References ArmISA::t, and sc_core::wait().

◆ wait() [8/13]

void sc_module::wait ( const sc_time t,
const sc_event_or_list eol 
)
protected

Definition at line 482 of file sc_module.cc.

References ArmISA::t, and sc_core::wait().

◆ wait() [9/13]

void sc_module::wait ( double  d,
sc_time_unit  u 
)
protected

Definition at line 464 of file sc_module.cc.

References ArmISA::d, ArmISA::u, and sc_core::wait().

◆ wait() [10/13]

void sc_module::wait ( double  d,
sc_time_unit  u,
const sc_event e 
)
protected

Definition at line 476 of file sc_module.cc.

References ArmISA::d, ArmISA::e, ArmISA::u, and sc_core::wait().

◆ wait() [11/13]

void sc_module::wait ( double  d,
sc_time_unit  u,
const sc_event_and_list eal 
)
protected

Definition at line 500 of file sc_module.cc.

References ArmISA::d, ArmISA::u, and sc_core::wait().

◆ wait() [12/13]

void sc_module::wait ( double  d,
sc_time_unit  u,
const sc_event_or_list eol 
)
protected

Definition at line 488 of file sc_module.cc.

References ArmISA::d, ArmISA::u, and sc_core::wait().

◆ wait() [13/13]

void sc_module::wait ( int  i)
protected

Definition at line 434 of file sc_module.cc.

References ArmISA::i, and sc_core::wait().

Friends And Related Function Documentation

◆ ::sc_gem5::Kernel

friend class ::sc_gem5::Kernel
friend

Definition at line 104 of file sc_module.hh.

◆ ::sc_gem5::Module

friend class ::sc_gem5::Module
friend

Definition at line 105 of file sc_module.hh.

Member Data Documentation

◆ _gem5_module

sc_gem5::Module* sc_core::sc_module::_gem5_module
private

◆ sensitive

sc_sensitive sc_core::sc_module::sensitive
protected

Definition at line 206 of file sc_module.hh.

Referenced by sc_core::sc_event_queue::sc_event_queue().


The documentation for this class was generated from the following files:

Generated on Wed Sep 30 2020 14:03:09 for gem5 by doxygen 1.8.17