gem5  v20.0.0.3
op_queue.h
Go to the documentation of this file.
1 /*****************************************************************************
2 
3  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
4  more contributor license agreements. See the NOTICE file distributed
5  with this work for additional information regarding copyright ownership.
6  Accellera licenses this file to you under the Apache License, Version 2.0
7  (the "License"); you may not use this file except in compliance with the
8  License. You may obtain a copy of the License at
9 
10  http://www.apache.org/licenses/LICENSE-2.0
11 
12  Unless required by applicable law or agreed to in writing, software
13  distributed under the License is distributed on an "AS IS" BASIS,
14  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15  implied. See the License for the specific language governing
16  permissions and limitations under the License.
17 
18  *****************************************************************************/
19 
20 /*****************************************************************************
21 
22  op_queue.h --
23 
24  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
25 
26  *****************************************************************************/
27 
28 /*****************************************************************************
29 
30  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
31  changes you are making here.
32 
33  Name, Affiliation, Date:
34  Description of Modification:
35 
36  *****************************************************************************/
37 
38 /* Filename op_queue.h */
39 /* This is the interface file for synchronous process `op_queue' */
40 
41 #include "systemc.h"
42 
43 SC_MODULE( op_queue )
44 {
45  SC_HAS_PROCESS( op_queue );
46 
47  sc_in_clk clk;
48 
49  sc_in<float> in;
50  sc_in<bool> pop;
51  sc_out<float> out;
52 
53  const int queue_size; //internal variable
54  float *queue; //internal variable
55 
56  // Constructor
57  op_queue( sc_module_name NAME,
58  sc_clock& CLK,
59  sc_signal<float>& IN1,
60  sc_signal<bool>& POP,
61  sc_signal<float>& OUT1,
62  int QUEUE_SIZE = 4 )
63  : queue_size(QUEUE_SIZE)
64  {
65  clk(CLK);
66  in(IN1);
67  pop(POP);
68  out(OUT1);
69  SC_CTHREAD( entry, clk.pos() );
70  queue = new float[queue_size];
71  }
72 
73  // Process functionality in member function below
74  void entry();
75 };
#define SC_CTHREAD(name, clk)
Definition: sc_module.hh:319
SC_MODULE(op_queue)
Definition: op_queue.h:43
#define SC_HAS_PROCESS(name)
Definition: sc_module.hh:297
sc_in< bool > sc_in_clk
Definition: sc_clock.hh:116

Generated on Fri Jul 3 2020 15:53:07 for gem5 by doxygen 1.8.13