gem5  v20.1.0.0
nvm_gen.cc
Go to the documentation of this file.
1 /*
2  * Copyright (c) 2020 ARM Limited
3  * All rights reserved
4  *
5  * The license below extends only to copyright in the software and shall
6  * not be construed as granting a license to any other intellectual
7  * property including but not limited to intellectual property relating
8  * to a hardware implementation of the functionality of the software
9  * licensed here under. You may use the software subject to the license
10  * terms below provided that you ensure that this notice is replicated
11  * unmodified and in its entirety in all distributions of the software,
12  * modified or unmodified, in source code or in binary form.
13  *
14  * Redistribution and use in source and binary forms, with or without
15  * modification, are permitted provided that the following conditions are
16  * met: redistributions of source code must retain the above copyright
17  * notice, this list of conditions and the following disclaimer;
18  * redistributions in binary form must reproduce the above copyright
19  * notice, this list of conditions and the following disclaimer in the
20  * documentation and/or other materials provided with the distribution;
21  * neither the name of the copyright holders nor the names of its
22  * contributors may be used to endorse or promote products derived from
23  * this software without specific prior written permission.
24  *
25  * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
26  * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
27  * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
28  * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
29  * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30  * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
31  * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
32  * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
33  * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
34  * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
35  * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36  *
37  * Authors: Wendy Elsasser
38  */
39 
41 
42 #include <algorithm>
43 
44 #include "base/random.hh"
45 #include "base/trace.hh"
46 #include "debug/TrafficGen.hh"
47 #include "enums/AddrMap.hh"
48 
50  RequestorID requestor_id, Tick _duration,
51  Addr start_addr, Addr end_addr,
52  Addr _blocksize, Addr cacheline_size,
53  Tick min_period, Tick max_period,
54  uint8_t read_percent, Addr data_limit,
55  unsigned int num_seq_pkts, unsigned int buffer_size,
56  unsigned int nbr_of_banks,
57  unsigned int nbr_of_banks_util,
58  Enums::AddrMap addr_mapping,
59  unsigned int nbr_of_ranks)
60  : RandomGen(obj, requestor_id, _duration, start_addr, end_addr,
61  _blocksize, cacheline_size, min_period, max_period,
62  read_percent, data_limit),
63  numSeqPkts(num_seq_pkts), countNumSeqPkts(0), addr(0),
64  isRead(true), bufferSize(buffer_size),
65  bufferBits(floorLog2(buffer_size / _blocksize)),
66  bankBits(floorLog2(nbr_of_banks)),
67  blockBits(floorLog2(_blocksize)),
68  nbrOfBanksNVM(nbr_of_banks),
69  nbrOfBanksUtil(nbr_of_banks_util), addrMapping(addr_mapping),
70  rankBits(floorLog2(nbr_of_ranks)),
71  nbrOfRanks(nbr_of_ranks)
72 {
73  if (nbr_of_banks_util > nbr_of_banks)
74  fatal("Attempting to use more banks (%d) than "
75  "what is available (%d)\n",
76  nbr_of_banks_util, nbr_of_banks);
77 }
78 
81 {
82  // if this is the first of the packets in series to be generated,
83  // start counting again
84  if (countNumSeqPkts == 0) {
86 
87  // choose if we generate a read or a write here
88  isRead = readPercent != 0 &&
89  (readPercent == 100 || random_mt.random(0, 100) < readPercent);
90 
91  assert((readPercent == 0 && !isRead) ||
92  (readPercent == 100 && isRead) ||
93  readPercent != 100);
94 
95  // pick a random bank
96  unsigned int new_bank =
97  random_mt.random<unsigned int>(0, nbrOfBanksUtil - 1);
98 
99  // pick a random rank
100  unsigned int new_rank =
101  random_mt.random<unsigned int>(0, nbrOfRanks - 1);
102 
103  // Generate the start address of the command series
104  // routine will update addr variable with bank, rank, and col
105  // bits updated for random traffic mode
106  genStartAddr(new_bank, new_rank);
107 
108  } else {
109  // increment the column by one
110  if (addrMapping == Enums::RoRaBaCoCh ||
111  addrMapping == Enums::RoRaBaChCo)
112  // Simply increment addr by blocksize to increment
113  // the column by one
114  addr += blocksize;
115 
116  else if (addrMapping == Enums::RoCoRaBaCh) {
117  // Explicity increment the column bits
118  unsigned int new_col = ((addr / blocksize /
120  (bufferSize / blocksize)) + 1;
122  bufferBits - 1,
123  blockBits + bankBits + rankBits, new_col);
124  }
125  }
126 
127  DPRINTF(TrafficGen, "NvmGen::getNextPacket: %c to addr %x, "
128  "size %d, countNumSeqPkts: %d, numSeqPkts: %d\n",
130 
131  // create a new request packet
134 
135  // add the amount of data manipulated to the total
137 
138  // subtract the number of packets remained to be generated
139  --countNumSeqPkts;
140 
141  // return the generated packet
142  return pkt;
143 }
144 
145 void
146 NvmGen::genStartAddr(unsigned int new_bank, unsigned int new_rank)
147 {
148  // start by picking a random address in the range
150 
151  // round down to start address of a block, i.e. a NVM burst
152  addr -= addr % blocksize;
153 
154  // insert the bank bits at the right spot, and align the
155  // address to achieve the required hit length, this involves
156  // finding the appropriate start address such that all
157  // sequential packets target successive bursts in the same
158  // buffer
159  unsigned int burst_per_buffer = bufferSize / blocksize;
160 
161  // pick a random burst address, but ensure that there is room for
162  // numSeqPkts sequential bursts in the same buffer
163  unsigned int new_col =
164  random_mt.random<unsigned int>(0, burst_per_buffer - numSeqPkts);
165 
166  if (addrMapping == Enums::RoRaBaCoCh ||
167  addrMapping == Enums::RoRaBaChCo) {
168  // Block bits, then buffer bits, then bank bits, then rank bits
170  blockBits + bufferBits, new_bank);
171  replaceBits(addr, blockBits + bufferBits - 1, blockBits, new_col);
172  if (rankBits != 0) {
175  new_rank);
176  }
177  } else if (addrMapping == Enums::RoCoRaBaCh) {
178  // Block bits, then bank bits, then rank bits, then buffer bits
179  replaceBits(addr, blockBits + bankBits - 1, blockBits, new_bank);
181  blockBits + bankBits + rankBits, new_col);
182  if (rankBits != 0) {
184  blockBits + bankBits, new_rank);
185  }
186  }
187 }
fatal
#define fatal(...)
This implements a cprintf based fatal() function.
Definition: logging.hh:183
NvmGen::numSeqPkts
const unsigned int numSeqPkts
Number of sequential NVM packets to be generated per cpu request.
Definition: nvm_gen.hh:111
replaceBits
void replaceBits(T &val, int first, int last, B bit_val)
A convenience function to replace bits first to last of val with bit_val in place.
Definition: bitfield.hh:179
NvmGen::getNextPacket
PacketPtr getNextPacket()
Get the next generated packet.
Definition: nvm_gen.cc:80
random.hh
MemCmd::ReadReq
@ ReadReq
Definition: packet.hh:82
Tick
uint64_t Tick
Tick count type.
Definition: types.hh:63
floorLog2
std::enable_if< std::is_integral< T >::value, int >::type floorLog2(T x)
Definition: intmath.hh:63
NvmGen::isRead
bool isRead
Remember type of requests to be generated in series.
Definition: nvm_gen.hh:120
StochasticGen::readPercent
const uint8_t readPercent
Percent of generated transactions that should be reads.
Definition: base_gen.hh:162
NvmGen::nbrOfBanksNVM
const unsigned int nbrOfBanksNVM
Number of banks in NVM.
Definition: nvm_gen.hh:135
MemCmd::WriteReq
@ WriteReq
Definition: packet.hh:85
random_mt
Random random_mt
Definition: random.cc:96
RequestorID
uint16_t RequestorID
Definition: request.hh:85
NvmGen::bankBits
const unsigned int bankBits
Number of bank bits in NVM address.
Definition: nvm_gen.hh:129
NvmGen::NvmGen
NvmGen(SimObject &obj, RequestorID requestor_id, Tick _duration, Addr start_addr, Addr end_addr, Addr _blocksize, Addr cacheline_size, Tick min_period, Tick max_period, uint8_t read_percent, Addr data_limit, unsigned int num_seq_pkts, unsigned int buffer_size, unsigned int nbr_of_banks, unsigned int nbr_of_banks_util, Enums::AddrMap addr_mapping, unsigned int nbr_of_ranks)
Create a NVM address sequence generator.
Definition: nvm_gen.cc:49
DPRINTF
#define DPRINTF(x,...)
Definition: trace.hh:234
NvmGen::nbrOfBanksUtil
const unsigned int nbrOfBanksUtil
Number of banks to be utilized for a given configuration.
Definition: nvm_gen.hh:138
NvmGen::nbrOfRanks
const unsigned int nbrOfRanks
Number of ranks to be utilized for a given configuration.
Definition: nvm_gen.hh:147
NvmGen::addr
Addr addr
Address of request.
Definition: nvm_gen.hh:117
Addr
uint64_t Addr
Address type This will probably be moved somewhere else in the near future.
Definition: types.hh:142
NvmGen::blockBits
const unsigned int blockBits
Number of block bits in NVM address.
Definition: nvm_gen.hh:132
NvmGen::genStartAddr
void genStartAddr(unsigned int new_bank, unsigned int new_rank)
Insert bank, rank, and column bits into packed address to create address for 1st command in a series.
Definition: nvm_gen.cc:146
BaseGen::getPacket
PacketPtr getPacket(Addr addr, unsigned size, const MemCmd &cmd, Request::FlagsType flags=0)
Generate a new request and associated packet.
Definition: base_gen.cc:56
RandomGen::dataManipulated
Addr dataManipulated
Counter to determine the amount of data manipulated.
Definition: random_gen.hh:103
StochasticGen::blocksize
const Addr blocksize
Blocksize and address increment.
Definition: base_gen.hh:150
NvmGen::countNumSeqPkts
unsigned int countNumSeqPkts
Track number of sequential packets generated for a request
Definition: nvm_gen.hh:114
NvmGen::bufferBits
const unsigned int bufferBits
Number of buffer bits in NVM address.
Definition: nvm_gen.hh:126
NvmGen::rankBits
const unsigned int rankBits
Number of rank bits in NVM address.
Definition: nvm_gen.hh:144
Packet
A Packet is used to encapsulate a transfer between two objects in the memory system (e....
Definition: packet.hh:257
addr
ip6_addr_t addr
Definition: inet.hh:423
Random::random
std::enable_if< std::is_integral< T >::value, T >::type random()
Use the SFINAE idiom to choose an implementation based on whether the type is integral or floating po...
Definition: random.hh:86
RandomGen
The random generator is similar to the linear one, but does not generate sequential addresses.
Definition: random_gen.hh:57
trace.hh
StochasticGen::endAddr
const Addr endAddr
End of address range.
Definition: base_gen.hh:147
NvmGen::bufferSize
const unsigned int bufferSize
Buffer size of NVM.
Definition: nvm_gen.hh:123
NvmGen::addrMapping
Enums::AddrMap addrMapping
Address mapping to be used.
Definition: nvm_gen.hh:141
TrafficGen
The traffic generator is a module that generates stimuli for the memory system, based on a collection...
Definition: traffic_gen.hh:67
StochasticGen::startAddr
const Addr startAddr
Start of address range.
Definition: base_gen.hh:144
nvm_gen.hh
SimObject
Abstract superclass for simulation objects.
Definition: sim_object.hh:92

Generated on Wed Sep 30 2020 14:02:09 for gem5 by doxygen 1.8.17