gem5  v20.1.0.0
Classes | Typedefs | Functions | Variables
sc_gem5 Namespace Reference

Classes

struct  BuiltinExceptionWrapper
 
class  Channel
 
class  ClockTick
 
class  CThread
 
struct  DefaultReportMessages
 
class  DynamicSensitivity
 
class  DynamicSensitivityEvent
 
class  DynamicSensitivityEventAndList
 
class  DynamicSensitivityEventOrList
 
struct  enable_if
 
struct  enable_if< true, T >
 
class  Event
 
struct  ExceptionWrapper
 
struct  ExceptionWrapperBase
 
class  Gem5ToTlmBridge
 
class  Gem5ToTlmBridgeBase
 
class  InternalScEvent
 
struct  is_const
 
struct  is_const< const T >
 
struct  is_more_const
 
struct  is_same
 
struct  is_same< T, T >
 
class  Kernel
 
struct  ListNode
 
class  Method
 
class  Module
 
struct  NodeList
 
class  Object
 
class  Port
 
class  Process
 
struct  ProcessFuncWrapper
 
struct  ProcessMemberFuncWrapper
 
struct  ProcessObjFuncWrapper
 
struct  ProcessObjRetFuncWrapper
 
struct  PythonInitFunc
 
struct  PythonReadyFunc
 
struct  remove_const
 
struct  remove_const< const T >
 
struct  remove_special_fptr
 
struct  remove_special_fptr< special_result &(*)(T)>
 
struct  ReportMsgInfo
 
struct  ReportSevInfo
 
class  Reset
 
class  ScEvent
 
class  ScExportWrapper
 
class  ScHalt
 
class  Scheduler
 
class  ScInterfaceWrapper
 
class  ScMainFiber
 
class  ScPortWrapper
 
class  ScSignalBase
 
class  ScSignalBaseBinary
 
class  ScSignalBasePicker
 
class  ScSignalBasePicker< bool >
 
class  ScSignalBasePicker< sc_dt::sc_logic >
 
class  ScSignalBaseT
 
class  ScSignalBinary
 
class  Sensitivity
 
class  SensitivityEvent
 
class  SensitivityEvents
 
struct  special_result
 
class  StaticSensitivity
 
class  StaticSensitivityEvent
 
class  StaticSensitivityExport
 
class  StaticSensitivityFinder
 
class  StaticSensitivityInterface
 
class  StaticSensitivityPort
 
class  Thread
 
class  TlmInitiatorBaseWrapper
 
class  TlmTargetBaseWrapper
 
class  TlmToGem5Bridge
 
class  TlmToGem5BridgeBase
 
class  TraceFile
 
class  TraceVal
 
class  TraceVal<::sc_core::sc_event, Base >
 
class  TraceVal<::sc_core::sc_signal_in_if< T >, Base >
 
class  TraceVal<::sc_dt::sc_fxnum, Base >
 
class  TraceVal<::sc_dt::sc_fxnum_fast, Base >
 
class  TraceValBase
 
class  TraceValFxnumBase
 
class  UniqueNameGen
 
class  UnwindExceptionKill
 
class  UnwindExceptionReset
 
class  VcdTraceFile
 
class  VcdTraceScope
 
class  VcdTraceVal
 
class  VcdTraceValBase
 
class  VcdTraceValBool
 
class  VcdTraceValEvent
 
class  VcdTraceValFinite
 
class  VcdTraceValFloat
 
class  VcdTraceValFxnum
 
class  VcdTraceValFxval
 
class  VcdTraceValInt
 
class  VcdTraceValLogic
 
class  VcdTraceValScLogic
 
class  VcdTraceValTime
 
class  WriteChecker
 
class  WriteChecker< sc_core::SC_MANY_WRITERS >
 
class  WriteChecker< sc_core::SC_ONE_WRITER >
 

Typedefs

typedef std::vector< sc_core::sc_event * > Events
 
typedef std::vector< sc_core::sc_object * > Objects
 
typedef Objects::iterator ObjectsIt
 
typedef Events::iterator EventsIt
 
typedef std::list< ScEvent * > ScEvents
 
typedef NodeList< ProcessProcessList
 
typedef NodeList< ChannelChannelList
 
typedef std::vector< DynamicSensitivity * > DynamicSensitivities
 
typedef std::vector< StaticSensitivity * > StaticSensitivities
 
template<unsigned int BUSWIDTH = 32, typename TYPES = tlm::tlm_base_protocol_types, int N = 1, sc_core::sc_port_policy POL = sc_core::SC_ONE_OR_MORE_BOUND>
using TlmInitiatorWrapper = TlmInitiatorBaseWrapper< BUSWIDTH, tlm::tlm_fw_transport_if< TYPES >, tlm::tlm_bw_transport_if< TYPES >, N, POL >
 
template<unsigned int BUSWIDTH = 32, typename TYPES = tlm::tlm_base_protocol_types, int N = 1, sc_core::sc_port_policy POL = sc_core::SC_ONE_OR_MORE_BOUND>
using TlmTargetWrapper = TlmTargetBaseWrapper< BUSWIDTH, tlm::tlm_fw_transport_if< TYPES >, tlm::tlm_bw_transport_if< TYPES >, N, POL >
 

Functions

EventsIt findEvent (const std::string &name)
 
ModulecurrentModule ()
 
ModulenewModuleChecked ()
 
ModulenewModule ()
 
static ModulepickParentModule ()
 
static void pushParentModule (Module *m)
 
static void popParentModule ()
 
std::string pickUniqueName (::sc_core::sc_object *parent, std::string base)
 
const std::vector< sc_core::sc_object * > & getTopLevelScObjects ()
 
sc_core::sc_objectfindObject (const char *name, const Objects &objects)
 
sc_core::sc_objectpickParentObj ()
 
void pushParentObj (sc_core::sc_object *obj)
 
void popParentObj ()
 
void throw_it_wrapper (Process *p, ExceptionWrapperBase &exc, bool inc_kids)
 
void newReset (const sc_core::sc_port_base *pb, Process *p, bool s, bool v)
 
void newReset (const sc_core::sc_signal_in_if< bool > *sig, Process *p, bool s, bool v)
 
ProcessnewMethodProcess (const char *name, ProcessFuncWrapper *func)
 
ProcessnewThreadProcess (const char *name, ProcessFuncWrapper *func)
 
ProcessnewCThreadProcess (const char *name, ProcessFuncWrapper *func)
 
uint64_t getChangeStamp ()
 
ProcessspawnWork (ProcessFuncWrapper *func, const char *name, const ::sc_core::sc_spawn_options *opts)
 
bool listContains (ListNode *list, ListNode *target)
 
ProcessgetCurrentProcess ()
 
const ::sc_core::sc_report reportifyException ()
 
void newStaticSensitivityEvent (Process *p, const sc_core::sc_event *e)
 
void newStaticSensitivityInterface (Process *p, const sc_core::sc_interface *i)
 
void newStaticSensitivityPort (Process *p, const sc_core::sc_port_base *pb)
 
void newStaticSensitivityExport (Process *p, const sc_core::sc_export_base *exp)
 
void newStaticSensitivityFinder (Process *p, const sc_core::sc_event_finder *f)
 
void newDynamicSensitivityEvent (Process *p, const sc_core::sc_event *e)
 
void newDynamicSensitivityEventOrList (Process *p, const sc_core::sc_event_or_list *eol)
 
void newDynamicSensitivityEventAndList (Process *p, const sc_core::sc_event_and_list *eal)
 
tlm::tlm_generic_payloadpacket2payload (PacketPtr packet)
 Convert a gem5 packet to a TLM payload by copying all the relevant information to new tlm payload. More...
 
PacketPtr payload2packet (RequestorID _id, tlm::tlm_generic_payload &trans)
 
PacketPtr payload2packet (tlm::tlm_generic_payload &trans)
 
std::map< std::string, ReportMsgInfo > & reportMsgInfoMap ()
 
std::map< int, std::string > & reportIdToMsgMap ()
 

Variables

std::set< Channel * > allChannels
 
Events topLevelEvents
 
Events allEvents
 
Kernelkernel
 
UniqueNameGen globalNameGen
 
std::list< Module * > allModules
 
Objects topLevelObjects
 
Objects allObjects
 
std::list< Port * > allPorts
 
BuiltinExceptionWrapper< UnwindExceptionResetresetException
 
BuiltinExceptionWrapper< UnwindExceptionKillkillException
 
ScMainFiber scMainFiber
 
Scheduler scheduler
 
const char * TimeUnitNames []
 
const char * TimeUnitConstantNames []
 
double TimeUnitScale []
 
Tick TimeUnitFrequency []
 
Gem5SystemC::MemoryManager mm
 Instantiate a tlm memory manager that takes care about all the tlm transactions in the system. More...
 
const char * reportSeverityNames []
 
ReportSevInfo reportSevInfos [sc_core::SC_MAX_SEVERITY]
 
int reportVerbosityLevel = sc_core::SC_MEDIUM
 
sc_core::sc_actions reportSuppressedActions = sc_core::SC_UNSPECIFIED
 
sc_core::sc_actions reportForcedActions = sc_core::SC_UNSPECIFIED
 
sc_core::sc_actions reportCatchActions = sc_core::SC_DISPLAY
 
sc_core::sc_report_handler_proc reportHandlerProc
 
std::unique_ptr< sc_core::sc_reportglobalReportCache
 
bool reportWarningsAsErrors = false
 

Typedef Documentation

◆ ChannelList

Definition at line 53 of file scheduler.hh.

◆ DynamicSensitivities

Definition at line 108 of file sensitivity.hh.

◆ Events

Definition at line 54 of file event.hh.

◆ EventsIt

typedef Events::iterator sc_gem5::EventsIt

Definition at line 45 of file object.hh.

◆ Objects

Definition at line 40 of file object.hh.

◆ ObjectsIt

typedef Objects::iterator sc_gem5::ObjectsIt

Definition at line 44 of file object.hh.

◆ ProcessList

Definition at line 50 of file scheduler.hh.

◆ ScEvents

Definition at line 39 of file sched_event.hh.

◆ StaticSensitivities

Definition at line 123 of file sensitivity.hh.

◆ TlmInitiatorWrapper

template<unsigned int BUSWIDTH = 32, typename TYPES = tlm::tlm_base_protocol_types, int N = 1, sc_core::sc_port_policy POL = sc_core::SC_ONE_OR_MORE_BOUND>
using sc_gem5::TlmInitiatorWrapper = typedef TlmInitiatorBaseWrapper<BUSWIDTH, tlm::tlm_fw_transport_if<TYPES>, tlm::tlm_bw_transport_if<TYPES>, N, POL>

Definition at line 122 of file tlm_port_wrapper.hh.

◆ TlmTargetWrapper

template<unsigned int BUSWIDTH = 32, typename TYPES = tlm::tlm_base_protocol_types, int N = 1, sc_core::sc_port_policy POL = sc_core::SC_ONE_OR_MORE_BOUND>
using sc_gem5::TlmTargetWrapper = typedef TlmTargetBaseWrapper<BUSWIDTH, tlm::tlm_fw_transport_if<TYPES>, tlm::tlm_bw_transport_if<TYPES>, N, POL>

Definition at line 129 of file tlm_port_wrapper.hh.

Function Documentation

◆ currentModule()

Module * sc_gem5::currentModule ( )

Definition at line 190 of file module.cc.

◆ findEvent()

EventsIt sc_gem5::findEvent ( const std::string &  name)

◆ findObject()

sc_core::sc_object * sc_gem5::findObject ( const char *  name,
const Objects objects 
)

Definition at line 296 of file object.cc.

References allObjects, and name().

Referenced by sc_core::sc_find_object(), and sc_core::sc_hierarchical_name_exists().

◆ getChangeStamp()

uint64_t sc_gem5::getChangeStamp ( )

◆ getCurrentProcess()

Process * sc_gem5::getCurrentProcess ( )

Definition at line 490 of file scheduler.cc.

References sc_gem5::Scheduler::current(), and scheduler.

Referenced by sc_core::sc_signal_rv< W >::write().

◆ getTopLevelScObjects()

const std::vector<sc_core::sc_object *>& sc_gem5::getTopLevelScObjects ( )

Definition at line 290 of file object.cc.

References topLevelObjects.

◆ listContains()

bool sc_gem5::listContains ( ListNode list,
ListNode target 
)

Definition at line 226 of file scheduler.cc.

References sc_dt::list, and ArmISA::n.

◆ newCThreadProcess()

Process * sc_gem5::newCThreadProcess ( const char *  name,
ProcessFuncWrapper func 
)

◆ newDynamicSensitivityEvent()

void sc_gem5::newDynamicSensitivityEvent ( Process p,
const sc_core::sc_event e 
)

Definition at line 188 of file sensitivity.cc.

References ArmISA::e, MipsISA::p, and ArmISA::s.

Referenced by sc_core::next_trigger(), and sc_core::wait().

◆ newDynamicSensitivityEventAndList()

void sc_gem5::newDynamicSensitivityEventAndList ( Process p,
const sc_core::sc_event_and_list eal 
)

Definition at line 205 of file sensitivity.cc.

References MipsISA::event, MipsISA::p, and ArmISA::s.

Referenced by sc_core::next_trigger(), and sc_core::wait().

◆ newDynamicSensitivityEventOrList()

void sc_gem5::newDynamicSensitivityEventOrList ( Process p,
const sc_core::sc_event_or_list eol 
)

Definition at line 196 of file sensitivity.cc.

References MipsISA::event, MipsISA::p, and ArmISA::s.

Referenced by sc_core::next_trigger(), and sc_core::wait().

◆ newMethodProcess()

Process * sc_gem5::newMethodProcess ( const char *  name,
ProcessFuncWrapper func 
)

◆ newModule()

Module * sc_gem5::newModule ( )

Definition at line 206 of file module.cc.

Referenced by sc_gem5::Object::Object().

◆ newModuleChecked()

Module * sc_gem5::newModuleChecked ( )

Definition at line 198 of file module.cc.

References sc_core::SC_ID_MODULE_NAME_STACK_EMPTY_, and SC_REPORT_ERROR.

◆ newReset() [1/2]

void sc_gem5::newReset ( const sc_core::sc_port_base pb,
Process p,
bool  s,
bool  v 
)

◆ newReset() [2/2]

void sc_gem5::newReset ( const sc_core::sc_signal_in_if< bool > *  sig,
Process p,
bool  s,
bool  v 
)

Definition at line 432 of file process.cc.

References MipsISA::p, Ps2::Reset, Stats::reset(), ArmISA::s, and ArmISA::v.

◆ newStaticSensitivityEvent()

void sc_gem5::newStaticSensitivityEvent ( Process p,
const sc_core::sc_event e 
)

◆ newStaticSensitivityExport()

void sc_gem5::newStaticSensitivityExport ( Process p,
const sc_core::sc_export_base exp 
)

Definition at line 147 of file sensitivity.cc.

References MipsISA::p, and ArmISA::s.

Referenced by spawnWork().

◆ newStaticSensitivityFinder()

void sc_gem5::newStaticSensitivityFinder ( Process p,
const sc_core::sc_event_finder f 
)

◆ newStaticSensitivityInterface()

void sc_gem5::newStaticSensitivityInterface ( Process p,
const sc_core::sc_interface i 
)

Definition at line 130 of file sensitivity.cc.

References ArmISA::i, MipsISA::p, and ArmISA::s.

Referenced by sc_core::sc_sensitive::operator<<(), and spawnWork().

◆ newStaticSensitivityPort()

void sc_gem5::newStaticSensitivityPort ( Process p,
const sc_core::sc_port_base pb 
)

◆ newThreadProcess()

Process * sc_gem5::newThreadProcess ( const char *  name,
ProcessFuncWrapper func 
)

◆ packet2payload()

tlm::tlm_generic_payload * sc_gem5::packet2payload ( PacketPtr  packet)

◆ payload2packet() [1/2]

PacketPtr sc_gem5::payload2packet ( RequestorID  _id,
tlm::tlm_generic_payload trans 
)

◆ payload2packet() [2/2]

PacketPtr sc_gem5::payload2packet ( tlm::tlm_generic_payload trans)

◆ pickParentModule()

static Module* sc_gem5::pickParentModule ( )
inlinestatic

◆ pickParentObj()

sc_core::sc_object * sc_gem5::pickParentObj ( )

Definition at line 310 of file object.cc.

References sc_gem5::Scheduler::current(), MipsISA::p, and scheduler.

Referenced by sc_gem5::Object::Object(), and pickParentModule().

◆ pickUniqueName()

std::string sc_gem5::pickUniqueName ( ::sc_core::sc_object parent,
std::string  base 
)

◆ popParentModule()

static void sc_gem5::popParentModule ( )
inlinestatic

◆ popParentObj()

void sc_gem5::popParentObj ( )

Definition at line 323 of file object.cc.

Referenced by sc_core::sc_vector_base::checkIndex(), and popParentModule().

◆ pushParentModule()

static void sc_gem5::pushParentModule ( Module m)
inlinestatic

◆ pushParentObj()

void sc_gem5::pushParentObj ( sc_core::sc_object obj)

Definition at line 322 of file object.cc.

Referenced by pushParentModule().

◆ reportIdToMsgMap()

std::map< int, std::string > & sc_gem5::reportIdToMsgMap ( )

◆ reportifyException()

const ::sc_core::sc_report sc_gem5::reportifyException ( )

◆ reportMsgInfoMap()

std::map< std::string, ReportMsgInfo > & sc_gem5::reportMsgInfoMap ( )

◆ spawnWork()

Process * sc_gem5::spawnWork ( ProcessFuncWrapper func,
const char *  name,
const ::sc_core::sc_spawn_options opts 
)

◆ throw_it_wrapper()

void sc_gem5::throw_it_wrapper ( Process p,
ExceptionWrapperBase exc,
bool  inc_kids 
)

Definition at line 419 of file process.cc.

References MipsISA::p.

Referenced by sc_core::sc_process_handle::throw_it().

Variable Documentation

◆ allChannels

std::set< Channel * > sc_gem5::allChannels

◆ allEvents

Events sc_gem5::allEvents

◆ allModules

std::list< Module * > sc_gem5::allModules

◆ allObjects

Objects sc_gem5::allObjects

◆ allPorts

std::list< Port * > sc_gem5::allPorts

◆ globalNameGen

UniqueNameGen sc_gem5::globalNameGen

Definition at line 49 of file module.cc.

Referenced by sc_core::sc_gen_unique_name().

◆ globalReportCache

std::unique_ptr< sc_core::sc_report > sc_gem5::globalReportCache

◆ kernel

Kernel * sc_gem5::kernel

◆ killException

Definition at line 63 of file process.cc.

Referenced by sc_gem5::Process::kill().

◆ mm

Instantiate a tlm memory manager that takes care about all the tlm transactions in the system.

Definition at line 74 of file gem5_to_tlm.cc.

◆ reportCatchActions

sc_core::sc_actions sc_gem5::reportCatchActions = sc_core::SC_DISPLAY

◆ reportForcedActions

sc_core::sc_actions sc_gem5::reportForcedActions = sc_core::SC_UNSPECIFIED

◆ reportHandlerProc

sc_core::sc_report_handler_proc sc_gem5::reportHandlerProc

◆ reportSeverityNames

const char * sc_gem5::reportSeverityNames
Initial value:
= {
[sc_core::SC_INFO] = "Info",
[sc_core::SC_WARNING] = "Warning",
[sc_core::SC_ERROR] = "Error",
[sc_core::SC_FATAL] = "Fatal"
}

Definition at line 33 of file report.cc.

Referenced by sc_core::sc_report_compose_message().

◆ reportSevInfos

ReportSevInfo sc_gem5::reportSevInfos

◆ reportSuppressedActions

sc_core::sc_actions sc_gem5::reportSuppressedActions = sc_core::SC_UNSPECIFIED

◆ reportVerbosityLevel

int sc_gem5::reportVerbosityLevel = sc_core::SC_MEDIUM

◆ reportWarningsAsErrors

bool sc_gem5::reportWarningsAsErrors = false

◆ resetException

Definition at line 62 of file process.cc.

Referenced by sc_gem5::Process::reset().

◆ scheduler

Scheduler sc_gem5::scheduler

Definition at line 489 of file scheduler.cc.

Referenced by sc_gem5::Channel::asyncRequestUpdate(), sc_core::sc_clock::before_end_of_elaboration(), sc_gem5::Event::cancel(), sc_gem5::Process::cancelTimeout(), sc_gem5::WriteChecker< sc_core::SC_ONE_WRITER >::checkWriter(), sc_gem5::WriteChecker< sc_core::SC_MANY_WRITERS >::checkWriter(), sc_core::sc_report_handler::clear_cached_report(), sc_gem5::ClockTick::createProcess(), sc_core::sc_report_handler::default_handler(), sc_core::sc_simcontext::elaboration_done(), sc_core::sc_report_handler::get_cached_report(), sc_core::sc_simcontext::get_curr_proc_info(), getChangeStamp(), getCurrentProcess(), sc_gem5::Kernel::init(), sc_gem5::VcdTraceFile::initialize(), sc_gem5::Process::injectException(), sc_gem5::Kernel::Kernel(), sc_gem5::ScMainFiber::main(), sc_gem5::Thread::Context::main(), newCThreadProcess(), newMethodProcess(), newThreadProcess(), sc_core::next_trigger(), sc_gem5::Event::notify(), sc_gem5::Sensitivity::notify(), pickParentObj(), sc_gem5::Scheduler::TimeSlot::process(), sc_gem5::Process::ready(), sc_gem5::Kernel::regStats(), sc_core::sc_report_handler::report(), sc_gem5::Channel::requestUpdate(), sc_gem5::Process::reset(), sc_gem5::Process::resume(), sc_core::sc_close_vcd_trace_file(), sc_core::sc_create_vcd_trace_file(), sc_core::sc_delta_count(), sc_core::sc_export_base::sc_export_base(), sc_core::sc_gen_unique_name(), sc_core::sc_get_curr_process_handle(), sc_core::sc_get_current_process_handle(), sc_core::sc_module::sc_module(), sc_core::sc_module_name::sc_module_name(), sc_core::sc_pause(), sc_core::sc_pending_activity_at_current_time(), sc_core::sc_pending_activity_at_future_time(), sc_core::sc_port_base::sc_port_base(), sc_core::sc_prim_channel::sc_prim_channel(), sc_core::sc_report_compose_message(), sc_core::sc_set_location(), sc_core::sc_start(), sc_core::sc_stop(), sc_core::sc_time_stamp(), sc_core::sc_time_to_pending_activity(), sc_gem5::Process::setTimeout(), sc_gem5::Process::signalReset(), spawnWork(), sc_gem5::Kernel::startup(), sc_gem5::Kernel::stopWork(), sc_gem5::Process::suspend(), sc_gem5::Kernel::t0Handler(), sc_gem5::ClockTick::tick(), sc_core::timed_out(), sc_gem5::VcdTraceFile::trace(), sc_gem5::Event::triggered(), sc_core::sc_mutex::trylock(), sc_core::sc_mutex::unlock(), sc_core::wait(), sc_core::sc_signal_resolved::write(), sc_gem5::ClockTick::~ClockTick(), sc_gem5::Event::~Event(), sc_core::sc_clock::~sc_clock(), sc_gem5::ScEvent::~ScEvent(), and sc_gem5::VcdTraceFile::~VcdTraceFile().

◆ scMainFiber

ScMainFiber sc_gem5::scMainFiber

◆ TimeUnitConstantNames

const char * sc_gem5::TimeUnitConstantNames
Initial value:
= {
[::sc_core::SC_FS] = "SC_FS",
[::sc_core::SC_PS] = "SC_PS",
[::sc_core::SC_NS] = "SC_NS",
[::sc_core::SC_US] = "SC_US",
[::sc_core::SC_MS] = "SC_MS",
[::sc_core::SC_SEC] = "SC_SEC"
}

Definition at line 44 of file time.cc.

Referenced by sc_core::sc_time::sc_time().

◆ TimeUnitFrequency

Tick sc_gem5::TimeUnitFrequency
Initial value:
= {
[::sc_core::SC_FS] = 1ULL * 1000 * 1000 * 1000 * 1000 * 1000,
[::sc_core::SC_PS] = 1ULL * 1000 * 1000 * 1000 * 1000,
[::sc_core::SC_NS] = 1ULL * 1000 * 1000 * 1000,
[::sc_core::SC_US] = 1ULL * 1000 * 1000,
[::sc_core::SC_MS] = 1ULL * 1000,
}

Definition at line 62 of file time.cc.

Referenced by sc_core::sc_set_time_resolution().

◆ TimeUnitNames

const char * sc_gem5::TimeUnitNames
Initial value:
= {
[::sc_core::SC_FS] = "fs",
[::sc_core::SC_PS] = "ps",
[::sc_core::SC_NS] = "ns",
[::sc_core::SC_US] = "us",
[::sc_core::SC_MS] = "ms",
}

Definition at line 35 of file time.cc.

Referenced by sc_core::sc_time::sc_time(), sc_gem5::TraceFile::set_time_unit(), and sc_core::sc_time_tuple::unit_symbol().

◆ TimeUnitScale

double sc_gem5::TimeUnitScale
Initial value:
= {
[::sc_core::SC_FS] = 1.0e-15,
[::sc_core::SC_PS] = 1.0e-12,
[::sc_core::SC_NS] = 1.0e-9,
[::sc_core::SC_US] = 1.0e-6,
[::sc_core::SC_MS] = 1.0e-3,
}

Definition at line 53 of file time.cc.

Referenced by sc_core::sc_set_default_time_unit(), sc_core::sc_set_time_resolution(), and sc_gem5::TraceFile::set_time_unit().

◆ topLevelEvents

Events sc_gem5::topLevelEvents

◆ topLevelObjects

Objects sc_gem5::topLevelObjects
sc_core::SC_INFO
@ SC_INFO
Definition: sc_report.hh:41
sc_core::SC_DEFAULT_ERROR_ACTIONS
@ SC_DEFAULT_ERROR_ACTIONS
Definition: sc_report_handler.hh:58
sc_core::SC_MS
@ SC_MS
Definition: sc_time.hh:45
sc_core::SC_WARNING
@ SC_WARNING
Definition: sc_report.hh:42
sc_core::SC_FS
@ SC_FS
Definition: sc_time.hh:41
sc_core::SC_US
@ SC_US
Definition: sc_time.hh:44
sc_core::sc_report_handler::default_handler
static void default_handler(const sc_report &, const sc_actions &)
Definition: sc_report_handler.cc:278
sc_core::SC_NS
@ SC_NS
Definition: sc_time.hh:43
sc_core::SC_DEFAULT_INFO_ACTIONS
@ SC_DEFAULT_INFO_ACTIONS
Definition: sc_report_handler.hh:56
sc_core::SC_SEC
@ SC_SEC
Definition: sc_time.hh:46
sc_core::SC_DEFAULT_FATAL_ACTIONS
@ SC_DEFAULT_FATAL_ACTIONS
Definition: sc_report_handler.hh:59
sc_core::SC_PS
@ SC_PS
Definition: sc_time.hh:42
sc_core::SC_FATAL
@ SC_FATAL
Definition: sc_report.hh:44
sc_core::SC_ERROR
@ SC_ERROR
Definition: sc_report.hh:43
sc_core::SC_DEFAULT_WARNING_ACTIONS
@ SC_DEFAULT_WARNING_ACTIONS
Definition: sc_report_handler.hh:57
ULL
#define ULL(N)
uint64_t constant
Definition: types.hh:50

Generated on Wed Sep 30 2020 14:03:10 for gem5 by doxygen 1.8.17