gem5  v20.1.0.0
Functions | Variables
circlebuf.test.cc File Reference
#include <gtest/gtest.h>
#include "base/circlebuf.hh"

Go to the source code of this file.

Functions

 TEST (CircleBufTest, BasicReadWriteNoOverflow)
 
 TEST (CircleBufTest, SingleWriteOverflow)
 
 TEST (CircleBufTest, MultiWriteOverflow)
 
 TEST (CircleBufTest, PointerWrapAround)
 

Variables

const char data []
 

Function Documentation

◆ TEST() [1/4]

TEST ( CircleBufTest  ,
BasicReadWriteNoOverflow   
)

◆ TEST() [2/4]

TEST ( CircleBufTest  ,
MultiWriteOverflow   
)

◆ TEST() [3/4]

TEST ( CircleBufTest  ,
PointerWrapAround   
)

◆ TEST() [4/4]

TEST ( CircleBufTest  ,
SingleWriteOverflow   
)

Variable Documentation

◆ data

const char data[]
Initial value:
= {
0x0, 0x1, 0x2, 0x3, 0x4, 0x5, 0x6, 0x7,
0x8, 0x9, 0xa, 0xb, 0xc, 0xd, 0xe, 0xf,
}

Definition at line 42 of file circlebuf.test.cc.

Referenced by cp::_format_char(), cp::_format_float(), cp::_format_integer(), cp::_format_string(), cp::Print::add_arg(), ArmISA::addPAC(), Net::EthAddr::addr(), CacheRecorder::addRecord(), Compressor::RepeatedQwords::addToDictionary(), Compressor::Zero::addToDictionary(), Compressor::CPack::addToDictionary(), Compressor::BaseDelta< uint64_t, 16 >::addToDictionary(), Compressor::FPCD::addToDictionary(), AtomicSimpleCPU::amoMem(), SimpleExecContext::amoMem(), Stats::Hdf5::appendStat(), RiscvProcess::argsInit(), DataBlock::assign(), GPUCoalescer::atomicCallback(), ArmISA::auth(), Net::EthAddr::broadcast(), TimingSimpleCPU::buildSplitPacket(), Net::EthAddr::bytes(), ArmISA::calculateTBI(), MemChecker::ByteTracker::completeRead(), MemChecker::completeRead(), Compressor::Multi::compress(), Compressor::Base::compress(), Compressor::DictionaryCompressor< uint64_t >::compressValue(), QARMA::computePAC(), PacketFifo::copyout(), GenericTimerMem::counterCtrlWrite(), crc32(), Compressor::Perfect::decompress(), Compressor::DictionaryCompressor< uint64_t >::decompress(), Compressor::DictionaryCompressor< T >::UncompressedPattern::decompress(), Compressor::DictionaryCompressor< uint64_t >::decompressValue(), IdeController::dispatchAccess(), DmaPort::dmaAction(), DmaDevice::dmaRead(), HSADevice::dmaReadVirt(), HSAPacketProcessor::dmaReadVirt(), HSADevice::dmaVirt(), HSAPacketProcessor::dmaVirt(), DmaDevice::dmaWrite(), HSADevice::dmaWriteVirt(), HSAPacketProcessor::dmaWriteVirt(), Shader::doFunctionalAccess(), BaseKvmCPU::doMMIOAccess(), SparcISA::TLB::doMmuRegWrite(), Trace::Logger::dump(), VirtDescriptor::dump(), VirtIO9PBase::dumpMsg(), Loader::ElfObject::ElfObject(), SimpleLTInitiator2_dmi::end_of_simulation(), SimpleLTInitiator1_dmi::end_of_simulation(), SimpleLTInitiator_ext::end_of_simulation(), IdeController::EndBitUnion(), Net::EthAddr::EthAddr(), Gcn3ISA::Inst_DS__DS_WRITE_B32::execute(), Gcn3ISA::Inst_DS__DS_WRITE_B8::execute(), Gcn3ISA::Inst_DS__DS_WRITE_B16::execute(), Gcn3ISA::Inst_DS__DS_SWIZZLE_B32::execute(), Gcn3ISA::Inst_DS__DS_PERMUTE_B32::execute(), Gcn3ISA::Inst_DS__DS_BPERMUTE_B32::execute(), Gcn3ISA::Inst_DS__DS_WRITE_B64::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB_X2::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC_X2::execute(), Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC_X2::execute(), Net::Ip6Hdr::extensionLength(), ArmISA::TableWalker::fetchDescriptor(), ArmISA::Stage2MMU::Stage2Translation::finish(), cp::format_char(), cp::format_float(), cp::format_integer(), cp::format_string(), Compressor::Base::fromChunks(), RubyRequest::functionalWrite(), RiscvISA::CSROp::generateDisassembly(), Linux::ThreadInfo::get_data(), cp::Print::get_number(), KvmDevice::getAttr(), KvmDevice::getAttrPtr(), Net::Ip6Hdr::getExt(), X86KvmCPU::handleIOMiscReg32(), RubyTester::hitCallback(), Sequencer::hitCallback(), GPUCoalescer::hitCallback(), PS2Device::hostRead(), TimingSimpleCPU::htmSendAbortSignal(), MemChecker::ByteTracker::inExpectedData(), Gcn3ISA::Inst_MUBUF__BUFFER_STORE_BYTE::initiateAcc(), Gcn3ISA::Inst_MUBUF__BUFFER_STORE_SHORT::initiateAcc(), Gcn3ISA::Inst_MUBUF__BUFFER_STORE_DWORD::initiateAcc(), Gcn3ISA::Inst_FLAT__FLAT_STORE_BYTE::initiateAcc(), Gcn3ISA::Inst_FLAT__FLAT_STORE_SHORT::initiateAcc(), Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORD::initiateAcc(), Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX2::initiateAcc(), TimingSimpleCPU::initiateHtmCmd(), Check::initiatePrefetch(), SimpleCache::insert(), SubBlock::internalMergeTo(), SimpleLTInitiator2_dmi::invalidate_direct_mem_ptr(), SimpleLTInitiator1_dmi::invalidate_direct_mem_ptr(), SimpleLTInitiator_ext::invalidate_direct_mem_ptr(), Minor::LSQ::SplitDataRequest::makeFragmentPackets(), Minor::LSQ::LSQRequest::makePacket(), Minor::makePacketForRequest(), DMASequencer::makeRequest(), SubBlock::mergeFrom(), SubBlock::mergeTo(), Minor::Decode::minorTrace(), Minor::Fetch2::minorTrace(), Minor::Fetch1::minorTrace(), Minor::Queue< Minor::ForwardInstData, ReportTraitsAdaptor< Minor::ForwardInstData >, BubbleTraitsAdaptor< Minor::ForwardInstData > >::minorTrace(), X86ISA::Decoder::moreBytes(), SimpleLTTarget_ext::myNBTransport(), VirtIOConsole::TermTransQueue::onNotifyDescriptor(), VirtIO9PBase::FSQueue::onNotifyDescriptor(), Linux::openSpecialFile(), Net::EthAddr::operator uint64_t(), Net::EthAddr::operator=(), sc_dt::sc_concatref::operator=(), Net::IpHdr::options(), Net::TcpHdr::options(), sc_gem5::packet2payload(), Net::EthAddr::parse(), Check::performCallback(), Stats::DataWrapVec< VectorStandardDeviation, VectorDistInfoProxy >::prepare(), Stats::DistStor::prepare(), Stats::HistStor::prepare(), Stats::SampleStor::prepare(), Stats::AvgSampleStor::prepare(), Stats::SparseHistStor::prepare(), Net::Ip6Hdr::proto(), Minor::Queue< Minor::ForwardInstData, ReportTraitsAdaptor< Minor::ForwardInstData >, BubbleTraitsAdaptor< Minor::ForwardInstData > >::push(), Minor::LSQ::pushRequest(), FullO3CPU< O3CPUImpl >::pushRequest(), LSQ< Impl >::pushRequest(), SimpleUart::read(), SimpleDisk::read(), Pl011::read(), RawDiskImage::read(), HDLcd::read(), PL031::read(), Pl050::read(), CowDiskImage::read(), VirtIOBlock::read(), X86ISA::I8042::read(), PortProxy::read(), BaseRemoteGDB::read(), VirtIO9PDiod::read(), VirtIO9PSocket::read(), Pl111::read(), UFSHostDevice::read(), VirtIO9PProxy::readAll(), BackingStore::readBlob(), TestProxy::readBlob(), Sequencer::readCallback(), GPUCoalescer::readCallback(), IdeDisk::readCommand(), IdeDisk::readControl(), X86ISA::I8042::readDataOut(), ArmISA::Stage2MMU::readDataUntimed(), IdeDisk::readDisk(), Iob::readIob(), Iob::readJBus(), AtomicSimpleCPU::readMem(), SimpleExecContext::readMem(), CheckerCPU::readMem(), ArmISA::readVecElem(), PS2Keyboard::recv(), PS2TouchKit::recv(), PS2Mouse::recv(), VirtIO9PProxy::recvTMsg(), PS2TouchKit::recvTouchKit(), Stats::DataWrapVec< VectorStandardDeviation, VectorDistInfoProxy >::reset(), Minor::LSQ::SplitDataRequest::retireResponse(), SafeRead(), SafeReadSwap(), SafeWrite(), SafeWriteSwap(), SC_MODULE(), QoS::MemCtrl::schedule(), PS2Device::send(), TimingSimpleCPU::sendData(), EtherTapStub::sendReal(), VirtIO9PBase::sendRMsg(), EtherTapBase::sendSimulated(), TimingSimpleCPU::sendSplitData(), PS2TouchKit::sendTouchKit(), EthPacketData::serialize(), VirtIO9PProxy::serverDataReady(), GenericTimerFrame::setAccessBits(), KvmDevice::setAttr(), KvmDevice::setAttrPtr(), SubBlock::setByte(), DataBlock::setByte(), Gicv3Redistributor::setClrLPI(), DataBlock::setData(), ArmISA::simd_modified_imm(), SimpleATTarget1::SimpleATTarget1(), SimpleATTarget2::SimpleATTarget2(), MemChecker::WriteCluster::startWrite(), MemChecker::ByteTracker::startWrite(), MemChecker::startWrite(), ArmISA::stripPAC(), TEST(), testAndRead(), testAndReadMask(), testAndWrite(), MemTest::tick(), GenericTimerMem::timerCtrlWrite(), GenericTimerFrame::timerWrite(), Compressor::Base::toChunks(), Trace::ExeTracerRecord::traceInst(), BaseRemoteGDB::trap(), Net::EthAddr::unicast(), EthPacketData::unserialize(), BaseCache::updateCompressionData(), ArmISA::vfp_modified_imm(), Stats::Hdf5::visit(), SimpleUart::write(), Sp805::write(), Pl011::write(), IsaFake::write(), RawDiskImage::write(), FVPBasePwrCtrl::write(), HDLcd::write(), Gicv3::write(), EnergyCtrl::write(), Pl050::write(), CowDiskImage::write(), X86ISA::I8042::write(), VirtIOBlock::write(), Intel8254Timer::Counter::write(), PortProxy::write(), BaseRemoteGDB::write(), Gicv3Redistributor::write(), Gicv3Distributor::write(), VirtIO9PDiod::write(), VirtIO9PSocket::write(), Pl111::write(), GenericTimerFrame::write(), GenericTimerMem::write(), LSQUnit< Impl >::write(), FullO3CPU< O3CPUImpl >::write(), UFSHostDevice::write(), LSQ< Impl >::write(), VirtIO9PProxy::writeAll(), BackingStore::writeBlob(), TestProxy::writeBlob(), SubBlock::writeByte(), Sequencer::writeCallback(), GPUCoalescer::writeCallback(), Sequencer::writeCallbackScFail(), IdeDisk::writeCommand(), Intel8254Timer::writeControl(), IdeDisk::writeControl(), X86ISA::I8254::writeCounter(), Intel8254Timer::writeCounter(), KvmKernelGicV2::writeCpu(), GicV2::writeCpu(), MC146818::writeData(), IdeDisk::writeDisk(), KvmKernelGicV2::writeDistributor(), GicV2::writeDistributor(), Iob::writeIob(), Iob::writeJBus(), Minor::ExecContext::writeMem(), AtomicSimpleCPU::writeMem(), TimingSimpleCPU::writeMem(), BaseDynInst< Impl >::writeMem(), SimpleExecContext::writeMem(), CheckerCPU::writeMem(), and writePng().


Generated on Wed Sep 30 2020 14:02:18 for gem5 by doxygen 1.8.17