gem5
[DEVELOP-FOR-23.0]
|
classes that represnt vector/scalar operands in VEGA ISA. More...
Functions | |
constexpr size_t | MaxOperandDwords (16) |
const int | NumVecElemPerVecReg (64) |
std::string | opSelectorToRegSym (int opIdx, int numRegs=0) |
int | opSelectorToRegIdx (int opIdx, int numScalarRegs) |
bool | isPosConstVal (int opIdx) |
bool | isNegConstVal (int opIdx) |
bool | isConstVal (int opIdx) |
bool | isLiteral (int opIdx) |
bool | isScalarReg (int opIdx) |
bool | isVectorReg (int opIdx) |
bool | isFlatScratchReg (int opIdx) |
bool | isExecMask (int opIdx) |
bool | isVccReg (int opIdx) |
template<typename T > | |
T | wholeQuadMode (T val) |
template<typename T > | |
T | quadMask (T val) |
template<typename T > | |
ScalarRegI32 | countZeroBits (T val) |
template<typename T > | |
ScalarRegI32 | findFirstZero (T val) |
template<typename T > | |
ScalarRegI32 | findFirstOne (T val) |
template<typename T > | |
ScalarRegI32 | findFirstOneMsb (T val) |
template<typename T > | |
ScalarRegI32 | countZeroBitsMsb (T val) |
ScalarRegI32 | firstOppositeSignBit (ScalarRegI32 val) |
ScalarRegI32 | firstOppositeSignBit (ScalarRegI64 val) |
template<typename T > | |
T | median (T val_0, T val_1, T val_2) |
template<typename T > | |
T | roundNearestEven (T val) |
VecElemU32 | muladd (VecElemU64 &dst, VecElemU32 val_0, VecElemU32 val_1, VecElemU64 val_2) |
VecElemU32 | muladd (VecElemI64 &dst, VecElemI32 val_0, VecElemI32 val_1, VecElemI64 val_2) |
int | dppInstImpl (SqDPPVals dppCtrl, int currLane, int rowNum, int rowOffset, bool &outOfBounds) |
dppInstImpl is a helper function that performs the inputted operation on the inputted vector register lane. More... | |
template<typename T > | |
void | processDPP (GPUDynInstPtr gpuDynInst, InFmt_VOP_DPP dppInst, T &src0) |
processDPP is a helper function for implementing Data Parallel Primitive instructions. More... | |
template<typename T > | |
void | processDPP (GPUDynInstPtr gpuDynInst, InFmt_VOP_DPP dppInst, T &src0, T &src1) |
processDPP is a helper function for implementing Data Parallel Primitive instructions. More... | |
template<typename T > | |
T | sdwaInstSrcImpl_helper (T currOperVal, const T origOperVal, const SDWASelVals sel, const bool signExt) |
sdwaInstSrcImpl_helper contains the per-lane code for selecting the appropriate bytes/words of the lane and doing the appropriate masking/padding/sign extending. More... | |
template<typename T > | |
void | sdwaInstSrcImpl (T &currOper, T &origCurrOper, const SDWASelVals sel, const bool signExt) |
sdwaInstSrcImpl is a helper function that selects the appropriate bits/bytes for each lane of the inputted source operand of an SDWA instruction, does the appropriate masking/padding/sign extending for the non-selected bits/bytes, and updates the operands values with the resultant value. More... | |
template<typename T > | |
T | sdwaInstDstImpl_helper (T currDstVal, const T origDstVal, const bool clamp, const SDWASelVals sel, const SDWADstVals unusedBits_format) |
sdwaInstDstImpl_helper contains the per-lane code for selecting the appropriate bytes/words of the lane and doing the appropriate masking/padding/sign extending. More... | |
template<typename T > | |
void | sdwaInstDstImpl (T &dstOper, T &origDstOper, const bool clamp, const SDWASelVals sel, const SDWADstVals unusedBits_format) |
sdwaInstDestImpl is a helper function that selects the appropriate bits/bytes for the inputted dest operand of an SDWA instruction, does the appropriate masking/padding/sign extending for the non-selected bits/bytes, and updates the operands values with the resultant value. More... | |
template<typename T > | |
void | processSDWA_src_helper (T &currSrc, T &origCurrSrc, const SDWASelVals src_sel, const bool src_signExt, const bool src_abs, const bool src_neg) |
processSDWA_srcHelper is a helper function for implementing sub d-word addressing instructions for the src operands. More... | |
template<typename T > | |
void | processSDWA_src (InFmt_VOP_SDWA sdwaInst, T &src0, T &origSrc0) |
processSDWA_src is a helper function for implementing sub d-word addressing instructions for the src operands. More... | |
template<typename T > | |
void | processSDWA_src (InFmt_VOP_SDWA sdwaInst, T &src0, T &origSrc0, T &src1, T &origSrc1) |
processSDWA_src is a helper function for implementing sub d-word addressing instructions. More... | |
template<typename T > | |
void | processSDWA_dst (InFmt_VOP_SDWA sdwaInst, T &dst, T &origDst) |
processSDWA_dst is a helper function for implementing sub d-word addressing instructions for the dst operand. More... | |
BitUnion64 (PageTableEntry) Bitfield< 58 | |
The page table entry is reverse engineered from the macros here: More... | |
EndBitUnion (PageTableEntry) BitUnion64(PageDirectoryEntry) Bitfield< 63 | |
Variables | |
const int | NumPosConstRegs |
const int | NumNegConstRegs |
const int | BITS_PER_BYTE = 8 |
const int | BITS_PER_WORD = 16 |
const int | MSB_PER_BYTE = (BITS_PER_BYTE - 1) |
const int | MSB_PER_WORD = (BITS_PER_WORD - 1) |
const int | DWordSize = sizeof(VecElemU32) |
const int | RegSizeDWords = sizeof(VecElemU32) / DWordSize |
Size of a single-precision register in DWords. More... | |
const Addr | PageShift = 12 |
const Addr | PageBytes = 1ULL << PageShift |
m | |
Bitfield< 56 > | f |
Bitfield< 55 > | l |
Bitfield< 53, 52 > | sw |
Bitfield< 51 > | t |
Bitfield< 47, 12 > | ppn |
Bitfield< 11, 7 > | fragment |
Bitfield< 6 > | w |
Bitfield< 5 > | r |
Bitfield< 4 > | x |
Bitfield< 3 > | z |
Bitfield< 2 > | c |
Bitfield< 1 > | s |
Bitfield< 0 > | v |
blockFragmentSize | |
Bitfield< 54 > | p |
Bitfield< 47, 6 > | baseAddr |
classes that represnt vector/scalar operands in VEGA ISA.
these classes wrap the generic vector register type (i.e., src/arch/generic/vec_reg.hh) and allow them to be manipulated in ways that are unique to VEGA insts.
using gem5::VegaISA::ConstScalarOperandF32 = typedef ScalarOperand<ScalarRegF32, true> |
Definition at line 701 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandF64 = typedef ScalarOperand<ScalarRegF64, true> |
Definition at line 704 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandI16 = typedef ScalarOperand<ScalarRegI16, true, 1> |
Definition at line 698 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandI32 = typedef ScalarOperand<ScalarRegI32, true> |
Definition at line 700 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandI64 = typedef ScalarOperand<ScalarRegI64, true> |
Definition at line 703 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandI8 = typedef ScalarOperand<ScalarRegI8, true, 1> |
Definition at line 696 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU128 = typedef ScalarOperand<ScalarRegU32, true, 4> |
Definition at line 705 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU16 = typedef ScalarOperand<ScalarRegU16, true, 1> |
Definition at line 697 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU256 = typedef ScalarOperand<ScalarRegU32, true, 8> |
Definition at line 706 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU32 = typedef ScalarOperand<ScalarRegU32, true> |
Definition at line 699 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU512 = typedef ScalarOperand<ScalarRegU32, true, 16> |
Definition at line 707 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU64 = typedef ScalarOperand<ScalarRegU64, true> |
Definition at line 702 of file operand.hh.
using gem5::VegaISA::ConstScalarOperandU8 = typedef ScalarOperand<ScalarRegU8, true, 1> |
Definition at line 695 of file operand.hh.
using gem5::VegaISA::ConstVecOperandF32 = typedef VecOperand<VecElemF32, true> |
Definition at line 730 of file operand.hh.
using gem5::VegaISA::ConstVecOperandF64 = typedef VecOperand<VecElemF64, true> |
Definition at line 733 of file operand.hh.
using gem5::VegaISA::ConstVecOperandI16 = typedef VecOperand<VecElemI16, true, 1> |
Definition at line 727 of file operand.hh.
using gem5::VegaISA::ConstVecOperandI32 = typedef VecOperand<VecElemI32, true> |
Definition at line 729 of file operand.hh.
using gem5::VegaISA::ConstVecOperandI64 = typedef VecOperand<VecElemI64, true> |
Definition at line 732 of file operand.hh.
using gem5::VegaISA::ConstVecOperandI8 = typedef VecOperand<VecElemI8, true, 1> |
Definition at line 725 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU128 = typedef VecOperand<VecElemU32, true, 4> |
Definition at line 735 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU16 = typedef VecOperand<VecElemU16, true, 1> |
Definition at line 726 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU256 = typedef VecOperand<VecElemU32, true, 8> |
Definition at line 736 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU32 = typedef VecOperand<VecElemU32, true> |
Definition at line 728 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU512 = typedef VecOperand<VecElemU32, true, 16> |
Definition at line 737 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU64 = typedef VecOperand<VecElemU64, true> |
Definition at line 731 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU8 = typedef VecOperand<VecElemU8, true, 1> |
Definition at line 724 of file operand.hh.
using gem5::VegaISA::ConstVecOperandU96 = typedef VecOperand<VecElemU32, true, 3> |
Definition at line 734 of file operand.hh.
using gem5::VegaISA::IsaDecodeMethod = typedef GPUStaticInst*(Decoder::*)(MachInst) |
Definition at line 50 of file gpu_decoder.hh.
typedef InstFormat* gem5::VegaISA::MachInst |
used to represent the encoding of a VEGA inst.
each portion of a VEGA inst must be 1 DWORD (32b), so we use a pointer to InstFormat type (which is 32b). for the case in which we need multiple DWORDS to represnt a single inst, this pointer essentialy acts as an array of the DWORDs needed to represent the entire inst encoding.
Definition at line 61 of file gpu_types.hh.
typedef uint64_t gem5::VegaISA::RawMachInst |
used to represnt a GPU inst in its raw format.
VEGA instructions may be 32b or 64b, therefore we represent a raw inst with 64b to ensure that all of its inst data, including potential immediate values, may be represented in the worst case.
Definition at line 42 of file gpu_types.hh.
using gem5::VegaISA::ScalarOperandF32 = typedef ScalarOperand<ScalarRegF32, false> |
Definition at line 687 of file operand.hh.
using gem5::VegaISA::ScalarOperandF64 = typedef ScalarOperand<ScalarRegF64, false> |
Definition at line 690 of file operand.hh.
using gem5::VegaISA::ScalarOperandI16 = typedef ScalarOperand<ScalarRegI16, false, 1> |
Definition at line 684 of file operand.hh.
using gem5::VegaISA::ScalarOperandI32 = typedef ScalarOperand<ScalarRegI32, false> |
Definition at line 686 of file operand.hh.
using gem5::VegaISA::ScalarOperandI64 = typedef ScalarOperand<ScalarRegI64, false> |
Definition at line 689 of file operand.hh.
using gem5::VegaISA::ScalarOperandI8 = typedef ScalarOperand<ScalarRegI8, false, 1> |
Definition at line 682 of file operand.hh.
using gem5::VegaISA::ScalarOperandU128 = typedef ScalarOperand<ScalarRegU32, false, 4> |
Definition at line 691 of file operand.hh.
using gem5::VegaISA::ScalarOperandU16 = typedef ScalarOperand<ScalarRegU16, false, 1> |
Definition at line 683 of file operand.hh.
using gem5::VegaISA::ScalarOperandU256 = typedef ScalarOperand<ScalarRegU32, false, 8> |
Definition at line 692 of file operand.hh.
using gem5::VegaISA::ScalarOperandU32 = typedef ScalarOperand<ScalarRegU32, false> |
Definition at line 685 of file operand.hh.
using gem5::VegaISA::ScalarOperandU512 = typedef ScalarOperand<ScalarRegU32, false, 16> |
Definition at line 693 of file operand.hh.
using gem5::VegaISA::ScalarOperandU64 = typedef ScalarOperand<ScalarRegU64, false> |
Definition at line 688 of file operand.hh.
using gem5::VegaISA::ScalarOperandU8 = typedef ScalarOperand<ScalarRegU8, false, 1> |
Definition at line 681 of file operand.hh.
typedef float gem5::VegaISA::ScalarRegF32 |
Definition at line 155 of file gpu_registers.hh.
typedef double gem5::VegaISA::ScalarRegF64 |
Definition at line 158 of file gpu_registers.hh.
typedef int16_t gem5::VegaISA::ScalarRegI16 |
Definition at line 152 of file gpu_registers.hh.
typedef int32_t gem5::VegaISA::ScalarRegI32 |
Definition at line 154 of file gpu_registers.hh.
typedef int64_t gem5::VegaISA::ScalarRegI64 |
Definition at line 157 of file gpu_registers.hh.
typedef int8_t gem5::VegaISA::ScalarRegI8 |
Definition at line 150 of file gpu_registers.hh.
typedef uint16_t gem5::VegaISA::ScalarRegU16 |
Definition at line 151 of file gpu_registers.hh.
typedef uint32_t gem5::VegaISA::ScalarRegU32 |
Definition at line 153 of file gpu_registers.hh.
typedef uint64_t gem5::VegaISA::ScalarRegU64 |
Definition at line 156 of file gpu_registers.hh.
typedef uint8_t gem5::VegaISA::ScalarRegU8 |
Definition at line 149 of file gpu_registers.hh.
typedef float gem5::VegaISA::VecElemF32 |
Definition at line 167 of file gpu_registers.hh.
typedef double gem5::VegaISA::VecElemF64 |
Definition at line 170 of file gpu_registers.hh.
typedef int16_t gem5::VegaISA::VecElemI16 |
Definition at line 164 of file gpu_registers.hh.
typedef int32_t gem5::VegaISA::VecElemI32 |
Definition at line 166 of file gpu_registers.hh.
typedef int64_t gem5::VegaISA::VecElemI64 |
Definition at line 169 of file gpu_registers.hh.
typedef int8_t gem5::VegaISA::VecElemI8 |
Definition at line 162 of file gpu_registers.hh.
typedef uint16_t gem5::VegaISA::VecElemU16 |
Definition at line 163 of file gpu_registers.hh.
typedef uint32_t gem5::VegaISA::VecElemU32 |
Definition at line 165 of file gpu_registers.hh.
typedef uint64_t gem5::VegaISA::VecElemU64 |
Definition at line 168 of file gpu_registers.hh.
typedef uint8_t gem5::VegaISA::VecElemU8 |
Definition at line 161 of file gpu_registers.hh.
using gem5::VegaISA::VecOperandF32 = typedef VecOperand<VecElemF32, false> |
Definition at line 715 of file operand.hh.
using gem5::VegaISA::VecOperandF64 = typedef VecOperand<VecElemF64, false> |
Definition at line 717 of file operand.hh.
using gem5::VegaISA::VecOperandI16 = typedef VecOperand<VecElemI16, false, 1> |
Definition at line 712 of file operand.hh.
using gem5::VegaISA::VecOperandI32 = typedef VecOperand<VecElemI32, false> |
Definition at line 714 of file operand.hh.
using gem5::VegaISA::VecOperandI64 = typedef VecOperand<VecElemI64, false> |
Definition at line 718 of file operand.hh.
using gem5::VegaISA::VecOperandI8 = typedef VecOperand<VecElemI8, false, 1> |
Definition at line 710 of file operand.hh.
using gem5::VegaISA::VecOperandU128 = typedef VecOperand<VecElemU32, false, 4> |
Definition at line 720 of file operand.hh.
using gem5::VegaISA::VecOperandU16 = typedef VecOperand<VecElemU16, false, 1> |
Definition at line 711 of file operand.hh.
using gem5::VegaISA::VecOperandU256 = typedef VecOperand<VecElemU32, false, 8> |
Definition at line 721 of file operand.hh.
using gem5::VegaISA::VecOperandU32 = typedef VecOperand<VecElemU32, false> |
Definition at line 713 of file operand.hh.
using gem5::VegaISA::VecOperandU512 = typedef VecOperand<VecElemU32, false, 16> |
Definition at line 722 of file operand.hh.
using gem5::VegaISA::VecOperandU64 = typedef VecOperand<VecElemU64, false> |
Definition at line 716 of file operand.hh.
using gem5::VegaISA::VecOperandU8 = typedef VecOperand<VecElemU8, false, 1> |
Definition at line 709 of file operand.hh.
using gem5::VegaISA::VecOperandU96 = typedef VecOperand<VecElemU32, false, 3> |
Definition at line 719 of file operand.hh.
using gem5::VegaISA::VecRegContainerU32 = typedef VecRegContainer<sizeof(VecElemU32) * NumVecElemPerVecReg> |
Definition at line 179 of file gpu_registers.hh.
using gem5::VegaISA::VecRegContainerU64 = typedef VecRegContainer<sizeof(VecElemU64) * NumVecElemPerVecReg> |
Definition at line 181 of file gpu_registers.hh.
enum gem5::VegaISA::ExceptionCode : uint64_t |
enum gem5::VegaISA::OpSelector : int |
Definition at line 48 of file gpu_registers.hh.
gem5::VegaISA::BitUnion64 | ( | PageTableEntry | ) |
The page table entry is reverse engineered from the macros here:
https://github.com/RadeonOpenCompute/ROCK-Kernel-Driver/blob/roc-4.3.x/ drivers/gpu/drm/amd/amdgpu/amdgpu_vm.h#L53
|
inline |
Definition at line 120 of file inst_util.hh.
References gem5::popCount(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_BCNT0_I32_B32::execute(), and gem5::VegaISA::Inst_SOP1__S_BCNT0_I32_B64::execute().
|
inline |
Definition at line 163 of file inst_util.hh.
References gem5::findMsbSet(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_FLBIT_I32_B32::execute(), and gem5::VegaISA::Inst_SOP1__S_FLBIT_I32_B64::execute().
int gem5::VegaISA::dppInstImpl | ( | SqDPPVals | dppCtrl, |
int | currLane, | ||
int | rowNum, | ||
int | rowOffset, | ||
bool & | outOfBounds | ||
) |
dppInstImpl is a helper function that performs the inputted operation on the inputted vector register lane.
The returned output lane represents the input lane given the destination lane and DPP_CTRL word.
Currently the values are: 0x0 - 0xFF: full permute of four threads 0x100: reserved 0x101 - 0x10F: row shift left by 1-15 threads 0x111 - 0x11F: row shift right by 1-15 threads 0x121 - 0x12F: row rotate right by 1-15 threads 0x130: wavefront left shift by 1 thread 0x134: wavefront left rotate by 1 thread 0x138: wavefront right shift by 1 thread 0x13C: wavefront right rotate by 1 thread 0x140: mirror threads within row 0x141: mirror threads within 1/2 row (8 threads) 0x142: broadcast 15th thread of each row to next row 0x143: broadcast thread 31 to rows 2 and 3
Definition at line 318 of file inst_util.hh.
References gem5::X86ISA::count, NumVecElemPerVecReg(), panic, gem5::ROW_SIZE, gem5::SQ_DPP_QUAD_PERM_MAX, gem5::SQ_DPP_RESERVED, gem5::SQ_DPP_ROW_BCAST15, gem5::SQ_DPP_ROW_BCAST31, gem5::SQ_DPP_ROW_HALF_MIRROR, gem5::SQ_DPP_ROW_MIRROR, gem5::SQ_DPP_ROW_RR1, gem5::SQ_DPP_ROW_RR15, gem5::SQ_DPP_ROW_SL1, gem5::SQ_DPP_ROW_SL15, gem5::SQ_DPP_ROW_SR1, gem5::SQ_DPP_ROW_SR15, gem5::SQ_DPP_WF_RL1, gem5::SQ_DPP_WF_RR1, gem5::SQ_DPP_WF_SL1, and gem5::SQ_DPP_WF_SR1.
Referenced by processDPP().
gem5::VegaISA::EndBitUnion | ( | PageTableEntry | ) |
|
inline |
Definition at line 141 of file inst_util.hh.
References gem5::findLsbSet(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_FF1_I32_B32::execute(), gem5::VegaISA::Inst_SOP1__S_FF1_I32_B64::execute(), gem5::VegaISA::Inst_VOP1__V_FFBL_B32::execute(), and gem5::VegaISA::Inst_VOP3__V_FFBL_B32::execute().
|
inline |
Definition at line 152 of file inst_util.hh.
References gem5::findMsbSet(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_VOP1__V_FFBH_U32::execute(), and gem5::VegaISA::Inst_VOP3__V_FFBH_U32::execute().
|
inline |
Definition at line 130 of file inst_util.hh.
References gem5::findLsbSet(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_FF0_I32_B32::execute(), and gem5::VegaISA::Inst_SOP1__S_FF0_I32_B64::execute().
|
inline |
Definition at line 173 of file inst_util.hh.
References gem5::X86ISA::count, gem5::ArmISA::i, and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_FLBIT_I32::execute(), gem5::VegaISA::Inst_SOP1__S_FLBIT_I32_I64::execute(), gem5::VegaISA::Inst_VOP1__V_FFBH_I32::execute(), and gem5::VegaISA::Inst_VOP3__V_FFBH_I32::execute().
|
inline |
Definition at line 209 of file inst_util.hh.
References gem5::X86ISA::count, gem5::ArmISA::i, and gem5::X86ISA::val.
bool gem5::VegaISA::isConstVal | ( | int | opIdx | ) |
Definition at line 197 of file registers.cc.
References isNegConstVal(), and isPosConstVal().
Referenced by gem5::VegaISA::ScalarOperand< DataType, Const, sizeof(DataType)/sizeof(VecElemU32) >::readSpecialVal().
bool gem5::VegaISA::isExecMask | ( | int | opIdx | ) |
Definition at line 210 of file registers.cc.
References REG_EXEC_HI, and REG_EXEC_LO.
Referenced by gem5::VegaISA::VEGAGPUStaticInst::isExecMaskRegister().
bool gem5::VegaISA::isFlatScratchReg | ( | int | opIdx | ) |
Definition at line 222 of file registers.cc.
References REG_FLAT_SCRATCH_HI, and REG_FLAT_SCRATCH_LO.
Referenced by gem5::VegaISA::VEGAGPUStaticInst::isFlatScratchRegister().
bool gem5::VegaISA::isLiteral | ( | int | opIdx | ) |
Definition at line 204 of file registers.cc.
References REG_SRC_LITERAL.
bool gem5::VegaISA::isNegConstVal | ( | int | opIdx | ) |
Definition at line 188 of file registers.cc.
References REG_INT_CONST_NEG_MAX, and REG_INT_CONST_NEG_MIN.
Referenced by isConstVal(), and gem5::VegaISA::GPUISA::readConstVal().
bool gem5::VegaISA::isPosConstVal | ( | int | opIdx | ) |
Definition at line 179 of file registers.cc.
References REG_INT_CONST_POS_MAX, and REG_INT_CONST_POS_MIN.
Referenced by isConstVal(), and gem5::VegaISA::GPUISA::readConstVal().
bool gem5::VegaISA::isScalarReg | ( | int | opIdx | ) |
Definition at line 228 of file registers.cc.
References REG_FLAT_SCRATCH_HI, REG_FLAT_SCRATCH_LO, REG_SGPR_MAX, REG_VCC_HI, and REG_VCC_LO.
Referenced by gem5::VegaISA::Inst_SOP2::initOperandInfo(), gem5::VegaISA::Inst_SOPK::initOperandInfo(), gem5::VegaISA::Inst_SOP1::initOperandInfo(), gem5::VegaISA::Inst_SOPC::initOperandInfo(), gem5::VegaISA::Inst_SMEM::initOperandInfo(), gem5::VegaISA::Inst_VOP2::initOperandInfo(), gem5::VegaISA::Inst_VOP1::initOperandInfo(), gem5::VegaISA::Inst_VOPC::initOperandInfo(), gem5::VegaISA::Inst_VOP3A::initOperandInfo(), gem5::VegaISA::Inst_VOP3B::initOperandInfo(), gem5::VegaISA::Inst_MUBUF::initOperandInfo(), gem5::VegaISA::Inst_MTBUF::initOperandInfo(), gem5::VegaISA::Inst_MIMG::initOperandInfo(), gem5::VegaISA::ScalarOperand< DataType, Const, sizeof(DataType)/sizeof(VecElemU32) >::read(), and gem5::VegaISA::ScalarOperand< DataType, Const, sizeof(DataType)/sizeof(VecElemU32) >::write().
bool gem5::VegaISA::isVccReg | ( | int | opIdx | ) |
Definition at line 216 of file registers.cc.
References REG_VCC_HI, and REG_VCC_LO.
bool gem5::VegaISA::isVectorReg | ( | int | opIdx | ) |
Definition at line 241 of file registers.cc.
References REG_VGPR_MAX, and REG_VGPR_MIN.
Referenced by gem5::VegaISA::Inst_VOP2::initOperandInfo(), gem5::VegaISA::Inst_VOP1::initOperandInfo(), gem5::VegaISA::Inst_VOPC::initOperandInfo(), gem5::VegaISA::Inst_VOP3A::initOperandInfo(), gem5::VegaISA::Inst_VOP3B::initOperandInfo(), and gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::readSrc().
|
constexpr |
|
inline |
Definition at line 246 of file inst_util.hh.
Referenced by gem5::VegaISA::Inst_VOP3__V_MED3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_I32::execute(), and gem5::VegaISA::Inst_VOP3__V_MED3_U32::execute().
|
inline |
Definition at line 285 of file inst_util.hh.
|
inline |
Definition at line 271 of file inst_util.hh.
Referenced by gem5::VegaISA::Inst_VOP3__V_MAD_U64_U32::execute(), and gem5::VegaISA::Inst_VOP3__V_MAD_I64_I32::execute().
const int gem5::VegaISA::NumVecElemPerVecReg | ( | 64 | ) |
Referenced by gem5::VegaISA::Inst_DS::calcAddr(), gem5::VegaISA::Inst_MUBUF::calcAddr(), gem5::VegaISA::Inst_FLAT::calcAddrSgpr(), gem5::VegaISA::Inst_FLAT::calcAddrVgpr(), gem5::VegaISA::Inst_DS__DS_READ_B32::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ2_B32::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ2ST64_B32::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ_I8::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ_U8::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ_U16::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ_B64::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ2_B64::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ2ST64_B64::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ_B96::completeAcc(), gem5::VegaISA::Inst_DS__DS_READ_B128::completeAcc(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_UBYTE::completeAcc(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_USHORT::completeAcc(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORD::completeAcc(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX2::completeAcc(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX3::completeAcc(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX4::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_UBYTE::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_USHORT::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORD::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX2::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX3::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX4::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SWAP::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SMIN::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SMAX::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_OR::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::completeAcc(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::completeAcc(), dppInstImpl(), gem5::VegaISA::Inst_VOP2__V_CNDMASK_B32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_F32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_I32_I24::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_HI_I32_I24::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_U32_U24::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_HI_U32_U24::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_I32::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_I32::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_U32::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_U32::execute(), gem5::VegaISA::Inst_VOP2__V_LSHRREV_B32::execute(), gem5::VegaISA::Inst_VOP2__V_ASHRREV_I32::execute(), gem5::VegaISA::Inst_VOP2__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP2__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP2__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP2__V_XOR_B32::execute(), gem5::VegaISA::Inst_VOP2__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MADMK_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MADAK_F32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_ADDC_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBB_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_U16::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_U16::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_U16::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_LO_U16::execute(), gem5::VegaISA::Inst_VOP2__V_LSHLREV_B16::execute(), gem5::VegaISA::Inst_VOP2__V_LSHRREV_B16::execute(), gem5::VegaISA::Inst_VOP2__V_ASHRREV_I16::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_U16::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_I16::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_U16::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_I16::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_U32::execute(), gem5::VegaISA::Inst_VOP1__V_MOV_B32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_I32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F64_I32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_I32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_U32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_U32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_RPI_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_FLR_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F64_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE0::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE1::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE2::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE3::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_U32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F64_U32::execute(), gem5::VegaISA::Inst_VOP1__V_TRUNC_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CEIL_F64::execute(), gem5::VegaISA::Inst_VOP1__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FLOOR_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FRACT_F32::execute(), gem5::VegaISA::Inst_VOP1__V_TRUNC_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CEIL_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP1__V_FLOOR_F32::execute(), gem5::VegaISA::Inst_VOP1__V_EXP_F32::execute(), gem5::VegaISA::Inst_VOP1__V_LOG_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RCP_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RCP_IFLAG_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RSQ_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RCP_F64::execute(), gem5::VegaISA::Inst_VOP1__V_RSQ_F64::execute(), gem5::VegaISA::Inst_VOP1__V_SQRT_F32::execute(), gem5::VegaISA::Inst_VOP1__V_SQRT_F64::execute(), gem5::VegaISA::Inst_VOP1__V_SIN_F32::execute(), gem5::VegaISA::Inst_VOP1__V_COS_F32::execute(), gem5::VegaISA::Inst_VOP1__V_NOT_B32::execute(), gem5::VegaISA::Inst_VOP1__V_BFREV_B32::execute(), gem5::VegaISA::Inst_VOP1__V_FFBH_U32::execute(), gem5::VegaISA::Inst_VOP1__V_FFBL_B32::execute(), gem5::VegaISA::Inst_VOP1__V_FFBH_I32::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_EXP_I32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_MANT_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FRACT_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_EXP_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_MANT_F32::execute(), gem5::VegaISA::Inst_VOP1__V_EXP_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP1__V_LOG_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_CLASS_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_CLASS_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_CLASS_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_CLASS_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_O_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_U_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NEQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_TRU_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_O_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_U_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NEQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_TRU_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_O_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_U_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NEQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_TRU_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_O_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_U_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NEQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_TRU_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CNDMASK_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR3_B32::execute(), gem5::VegaISA::Inst_VOP3__V_XOR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADDC_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBB_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I16::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MOV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_RPI_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_FLR_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE0::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE1::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE2::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE3::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_U32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_IFLAG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_COS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_NOT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_BFREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_U32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBL_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_I32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_BFI_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LERP_U8::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBIT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBYTE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_HI_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_PK_U8_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_SCALE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_SCALE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U64_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I64_I32::execute(), gem5::VegaISA::Inst_VOP3__V_XAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_LSHL_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I16::execute(), gem5::VegaISA::Inst_VOP3__V_PERM_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_BCNT_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_LO_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_HI_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I64::execute(), gem5::VegaISA::Inst_VOP3__V_BFM_B32::execute(), gem5::VegaISA::Inst_DS__DS_ADD_U32::execute(), gem5::VegaISA::Inst_DS__DS_OR_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2ST64_B32::execute(), gem5::VegaISA::Inst_DS__DS_ADD_F32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B8::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B8_D16_HI::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B16::execute(), gem5::VegaISA::Inst_DS__DS_SWIZZLE_B32::execute(), gem5::VegaISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_ADD_U64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2_B64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2ST64_B64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B96::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B128::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_BYTE::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_SHORT::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORD::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SMIN::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SMAX::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_OR::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), gem5::VegaISA::Inst_DS::initAtomicAccess(), gem5::VegaISA::Inst_FLAT::initAtomicAccess(), gem5::VegaISA::Inst_DS::initDualMemRead(), gem5::VegaISA::Inst_DS::initDualMemWrite(), gem5::VegaISA::Inst_DS::initMemRead(), gem5::VegaISA::Inst_FLAT::initMemRead(), gem5::VegaISA::Inst_DS::initMemWrite(), gem5::VegaISA::Inst_FLAT::initMemWrite(), gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::operator[](), processDPP(), gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::read(), gem5::VegaISA::Inst_VOP2::sdwaDstHelper(), sdwaInstDstImpl(), sdwaInstSrcImpl(), and gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::write().
int gem5::VegaISA::opSelectorToRegIdx | ( | int | opIdx, |
int | numScalarRegs | ||
) |
the VCC register occupies the two highest numbered SRF entries. VCC is typically indexed by specifying VCC_LO (simply called VCC) in the instruction encoding and reading it as a 64b value so we only return the index to the lower half of the VCC register.
VCC_LO = s[NUM_SGPRS - 2] VCC_HI = s[NUM_SGPRS - 1]
the FLAT_SCRATCH register occupies the two SRF entries just below VCC. FLAT_SCRATCH is typically indexed by specifying FLAT_SCRATCH_LO (simply called FLAT_SCRATCH) in the instruction encoding and reading it as a 64b value so we only return the index to the lower half of the FLAT_SCRATCH register.
FLAT_SCRATCH_LO = s[NUM_SGPRS - 4] FLAT_SCRATCH_HI = s[NUM_SGPRS - 3]
If the operand is the EXEC mask we just return the op selector value indicating it is the EXEC mask, which is not part of any RF. Higher-level calls will understand that this resolves to a special system register, not an index into an RF.
the VCC register occupies the two highest numbered SRF entries. VCC is typically indexed by specifying VCC_LO (simply called VCC) in the instruction encoding and reading it as a 64b value so we only return the index to the lower half of the VCC register.
VCC_LO = s[NUM_SGPRS - 2] VCC_HI = s[NUM_SGPRS - 1]
the FLAT_SCRATCH register occupies the two SRF entries just below VCC. FLAT_SCRATCH is typically indexed by specifying FLAT_SCRATCH_LO (simply called FLAT_SCRATCH) in the instruction encoding and reading it as a 64b value so we only return the index to the lower half of the FLAT_SCRATCH register.
FLAT_SCRATCH_LO = s[NUM_SGPRS - 4] FLAT_SCRATCH_HI = s[NUM_SGPRS - 3]
If the operand is the EXEC mask we just return the op selector value indicating it is the EXEC mask, which is not part of any RF. Higher-level calls will understand that this resolves to a special system register, not an index into an RF.
Definition at line 125 of file registers.cc.
References REG_EXEC_HI, REG_EXEC_LO, REG_FLAT_SCRATCH_HI, REG_FLAT_SCRATCH_LO, REG_SGPR_MAX, REG_VCC_HI, REG_VCC_LO, REG_VGPR_MAX, and REG_VGPR_MIN.
Referenced by gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::readSrc().
std::string gem5::VegaISA::opSelectorToRegSym | ( | int | opIdx, |
int | numRegs = 0 |
||
) |
Definition at line 40 of file registers.cc.
References fatal, REG_EXEC_LO, REG_FLAT_SCRATCH_HI, REG_FLAT_SCRATCH_LO, REG_INT_CONST_NEG_MAX, REG_INT_CONST_NEG_MIN, REG_INT_CONST_POS_MAX, REG_INT_CONST_POS_MIN, REG_M0, REG_NEG_FOUR, REG_NEG_HALF, REG_NEG_ONE, REG_NEG_TWO, REG_POS_FOUR, REG_POS_HALF, REG_POS_ONE, REG_POS_TWO, REG_SGPR_MAX, REG_VCC_HI, REG_VCC_LO, REG_VGPR_MAX, REG_VGPR_MIN, REG_ZERO, and sc_dt::to_string().
Referenced by gem5::VegaISA::Inst_SOP2::generateDisassembly(), gem5::VegaISA::Inst_SOPK::generateDisassembly(), gem5::VegaISA::Inst_SOP1::generateDisassembly(), gem5::VegaISA::Inst_SOPC::generateDisassembly(), gem5::VegaISA::Inst_VOP2::generateDisassembly(), gem5::VegaISA::Inst_VOP1::generateDisassembly(), gem5::VegaISA::Inst_VOPC::generateDisassembly(), gem5::VegaISA::Inst_VOP3A::generateDisassembly(), and gem5::VegaISA::Inst_VOP3B::generateDisassembly().
void gem5::VegaISA::processDPP | ( | GPUDynInstPtr | gpuDynInst, |
InFmt_VOP_DPP | dppInst, | ||
T & | src0 | ||
) |
processDPP is a helper function for implementing Data Parallel Primitive instructions.
This function may be called by many different VOP1 instructions to do operations within a register.
STEP 1a: check if the absolute value (ABS) or negation (NEG) tags are set. If so, do the appropriate action(s) on src0 and/or src1.
NOTE: ABS takes priority over NEG.
STEP 2: check the row and bank mask values. These determine which threads are enabled for the subsequent DPP_CTRL operations.
STEP 4: Handle the potential values of DPP_CTRL: 0x0 - 0xFF: full permute of four threads 0x100: reserved 0x101 - 0x10F: row shift right by 1-15 threads 0x111 - 0x11F: row shift right by 1-15 threads 0x121 - 0x12F: row shift right by 1-15 threads 0x130: wavefront left shift by 1 thread 0x134: wavefront left rotate by 1 thread 0x138: wavefront right shift by 1 thread 0x13C: wavefront right rotate by 1 thread 0x140: mirror threads within row 0x141: mirror threads within 1/2 row (8 threads) 0x142: broadcast 15th thread of each row to next row 0x143: broadcast thread 31 to rows 2 and 3
STEP 4: Implement bound control for disabled threads. If thread is disabled but boundCtrl is set, then we need to set the source data to 0 (i.e., set this lane to 0).
Definition at line 422 of file inst_util.hh.
References gem5::VegaISA::InFmt_VOP_DPP::BANK_MASK, gem5::VegaISA::InFmt_VOP_DPP::BC, gem5::VegaISA::InFmt_VOP_DPP::DPP_CTRL, dppInstImpl(), gem5::NUM_BANKS, NumVecElemPerVecReg(), gem5::VegaISA::InFmt_VOP_DPP::ROW_MASK, gem5::ROW_SIZE, gem5::VegaISA::InFmt_VOP_DPP::SRC0_ABS, and gem5::VegaISA::InFmt_VOP_DPP::SRC0_NEG.
Referenced by gem5::VegaISA::Inst_VOP2::dppHelper(), gem5::VegaISA::Inst_VOP2__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP2__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP2__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP1__V_MOV_B32::execute(), and processDPP().
void gem5::VegaISA::processDPP | ( | GPUDynInstPtr | gpuDynInst, |
InFmt_VOP_DPP | dppInst, | ||
T & | src0, | ||
T & | src1 | ||
) |
processDPP is a helper function for implementing Data Parallel Primitive instructions.
This function may be called by many different VOP2/VOPC instructions to do operations within a register.
STEP 1b: check if the absolute value (ABS) or negation (NEG) tags are set. If so, do the appropriate action(s) on src0 and/or src1.
NOTE: ABS takes priority over NEG.
Definition at line 535 of file inst_util.hh.
References processDPP(), gem5::VegaISA::InFmt_VOP_DPP::SRC1_ABS, and gem5::VegaISA::InFmt_VOP_DPP::SRC1_NEG.
void gem5::VegaISA::processSDWA_dst | ( | InFmt_VOP_SDWA | sdwaInst, |
T & | dst, | ||
T & | origDst | ||
) |
processSDWA_dst is a helper function for implementing sub d-word addressing instructions for the dst operand.
This function may be called by many different VOP1/VOP2/VOPC instructions to do operations within a register. processSDWA_dst is called after the math, while processSDWA_src is called before the math.
STEP 1: select the appropriate bits for dst and pad/sign-extend as appropriate.
Definition at line 890 of file inst_util.hh.
References gem5::VegaISA::InFmt_VOP_SDWA::CLMP, gem5::VegaISA::InFmt_VOP_SDWA::DST_SEL, gem5::VegaISA::InFmt_VOP_SDWA::DST_U, and sdwaInstDstImpl().
Referenced by gem5::VegaISA::Inst_VOP2__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP2__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_U32::execute(), and gem5::VegaISA::Inst_VOP2::sdwaDstHelper().
void gem5::VegaISA::processSDWA_src | ( | InFmt_VOP_SDWA | sdwaInst, |
T & | src0, | ||
T & | origSrc0 | ||
) |
processSDWA_src is a helper function for implementing sub d-word addressing instructions for the src operands.
This function may be called by many different VOP1 instructions to do operations within a register. processSDWA_dst is called after the math, while processSDWA_src is called before the math.
Definition at line 834 of file inst_util.hh.
References processSDWA_src_helper(), gem5::VegaISA::InFmt_VOP_SDWA::SRC0_ABS, gem5::VegaISA::InFmt_VOP_SDWA::SRC0_NEG, gem5::VegaISA::InFmt_VOP_SDWA::SRC0_SEL, gem5::VegaISA::InFmt_VOP_SDWA::SRC0_SEXT, gem5::VegaISA::InFmt_VOP_SDWA::SRC1_ABS, gem5::VegaISA::InFmt_VOP_SDWA::SRC1_NEG, and gem5::VegaISA::InFmt_VOP_SDWA::SRC1_SEXT.
Referenced by gem5::VegaISA::Inst_VOP2__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP2__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_U32::execute(), and gem5::VegaISA::Inst_VOP2::sdwaSrcHelper().
void gem5::VegaISA::processSDWA_src | ( | InFmt_VOP_SDWA | sdwaInst, |
T & | src0, | ||
T & | origSrc0, | ||
T & | src1, | ||
T & | origSrc1 | ||
) |
processSDWA_src is a helper function for implementing sub d-word addressing instructions.
This function may be called by many different VOP2/VOPC instructions to do operations within a register. processSDWA_dst is called after the math, while processSDWA_src is called before the math.
Definition at line 862 of file inst_util.hh.
References processSDWA_src_helper(), gem5::VegaISA::InFmt_VOP_SDWA::SRC0_ABS, gem5::VegaISA::InFmt_VOP_SDWA::SRC0_NEG, gem5::VegaISA::InFmt_VOP_SDWA::SRC0_SEL, gem5::VegaISA::InFmt_VOP_SDWA::SRC0_SEXT, gem5::VegaISA::InFmt_VOP_SDWA::SRC1_ABS, gem5::VegaISA::InFmt_VOP_SDWA::SRC1_NEG, gem5::VegaISA::InFmt_VOP_SDWA::SRC1_SEL, and gem5::VegaISA::InFmt_VOP_SDWA::SRC1_SEXT.
void gem5::VegaISA::processSDWA_src_helper | ( | T & | currSrc, |
T & | origCurrSrc, | ||
const SDWASelVals | src_sel, | ||
const bool | src_signExt, | ||
const bool | src_abs, | ||
const bool | src_neg | ||
) |
processSDWA_srcHelper is a helper function for implementing sub d-word addressing instructions for the src operands.
This function may be called by many different VOP1/VOP2/VOPC instructions to do operations within a register. This function is also agnostic of which operand it is operating on, so that it can be called for any src operand.
STEP 1: check if the absolute value (ABS) or negation (NEG) tags are set. If so, do the appropriate action(s) on the src operand.
NOTE: According to the CSim implementation, ABS takes priority over NEG.
STEP 2: select the appropriate bits for each lane of source operand.
Definition at line 799 of file inst_util.hh.
References sdwaInstSrcImpl().
Referenced by processSDWA_src().
|
inline |
Definition at line 103 of file inst_util.hh.
References gem5::bits(), gem5::mask(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_QUADMASK_B32::execute(), and gem5::VegaISA::Inst_SOP1__S_QUADMASK_B64::execute().
|
inline |
Definition at line 258 of file inst_util.hh.
References gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_VOP1__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP1__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F64::execute(), and gem5::VegaISA::Inst_VOP3__V_RNDNE_F32::execute().
void gem5::VegaISA::sdwaInstDstImpl | ( | T & | dstOper, |
T & | origDstOper, | ||
const bool | clamp, | ||
const SDWASelVals | sel, | ||
const SDWADstVals | unusedBits_format | ||
) |
sdwaInstDestImpl is a helper function that selects the appropriate bits/bytes for the inputted dest operand of an SDWA instruction, does the appropriate masking/padding/sign extending for the non-selected bits/bytes, and updates the operands values with the resultant value.
The desired behavior is:
Definition at line 778 of file inst_util.hh.
References NumVecElemPerVecReg(), sdwaInstDstImpl_helper(), and gem5::ArmISA::sel.
Referenced by processSDWA_dst().
T gem5::VegaISA::sdwaInstDstImpl_helper | ( | T | currDstVal, |
const T | origDstVal, | ||
const bool | clamp, | ||
const SDWASelVals | sel, | ||
const SDWADstVals | unusedBits_format | ||
) |
sdwaInstDstImpl_helper contains the per-lane code for selecting the appropriate bytes/words of the lane and doing the appropriate masking/padding/sign extending.
It returns the value after these operations are done on it.
Definition at line 677 of file inst_util.hh.
References gem5::bits(), BITS_PER_BYTE, BITS_PER_WORD, gem5::insertBits(), MSB_PER_BYTE, MSB_PER_WORD, panic, gem5::SDWA_DWORD, gem5::SDWA_UNUSED_PRESERVE, gem5::SDWA_UNUSED_SEXT, gem5::SDWA_WORD_0, and gem5::ArmISA::sel.
Referenced by sdwaInstDstImpl().
void gem5::VegaISA::sdwaInstSrcImpl | ( | T & | currOper, |
T & | origCurrOper, | ||
const SDWASelVals | sel, | ||
const bool | signExt | ||
) |
sdwaInstSrcImpl is a helper function that selects the appropriate bits/bytes for each lane of the inputted source operand of an SDWA instruction, does the appropriate masking/padding/sign extending for the non-selected bits/bytes, and updates the operands values with the resultant value.
The desired behavior is:
Definition at line 658 of file inst_util.hh.
References NumVecElemPerVecReg(), sdwaInstSrcImpl_helper(), and gem5::ArmISA::sel.
Referenced by processSDWA_src_helper().
T gem5::VegaISA::sdwaInstSrcImpl_helper | ( | T | currOperVal, |
const T | origOperVal, | ||
const SDWASelVals | sel, | ||
const bool | signExt | ||
) |
sdwaInstSrcImpl_helper contains the per-lane code for selecting the appropriate bytes/words of the lane and doing the appropriate masking/padding/sign extending.
It returns the value after these operations are done on it.
Definition at line 565 of file inst_util.hh.
References gem5::bits(), BITS_PER_BYTE, BITS_PER_WORD, MSB_PER_BYTE, MSB_PER_WORD, panic, panic_if, gem5::SDWA_DWORD, gem5::SDWA_WORD_0, and gem5::ArmISA::sel.
Referenced by sdwaInstSrcImpl().
|
inline |
Definition at line 89 of file inst_util.hh.
References gem5::bits(), gem5::mask(), and gem5::X86ISA::val.
Referenced by gem5::VegaISA::Inst_SOP1__S_WQM_B32::execute(), and gem5::VegaISA::Inst_SOP1__S_WQM_B64::execute().
Bitfield<47, 6> gem5::VegaISA::baseAddr |
Definition at line 71 of file pagetable.hh.
Referenced by EndBitUnion(), gem5::UFSHostDevice::manageReadTransfer(), gem5::UFSHostDevice::manageWriteTransfer(), gem5::UFSHostDevice::SCSIResume(), and gem5::X86ISA::GpuTLB::translate().
const int gem5::VegaISA::BITS_PER_BYTE = 8 |
Definition at line 143 of file gpu_registers.hh.
Referenced by sdwaInstDstImpl_helper(), and sdwaInstSrcImpl_helper().
const int gem5::VegaISA::BITS_PER_WORD = 16 |
Definition at line 144 of file gpu_registers.hh.
Referenced by sdwaInstDstImpl_helper(), and sdwaInstSrcImpl_helper().
gem5::VegaISA::blockFragmentSize |
Definition at line 69 of file pagetable.hh.
Bitfield< 2 > gem5::VegaISA::c |
Definition at line 63 of file pagetable.hh.
Referenced by gem5::ThermalModel::addCapacitor(), addSubColumns(), gem5::trace::TarmacParserRecord::advanceTrace(), sc_gem5::Scheduler::asyncRequestUpdate(), sc_core::sc_vector< svp_gicv3_comms::gicv3_comms_target_socket<> >::bind(), sc_core::sc_vector_assembly< T, MT >::bind(), gem5::BaseCache::CacheStats::CacheStats(), gem5::ArmSemihosting::callWriteC(), sc_gem5::Scheduler::clear(), gem5::SrcClockDomain::clockPeriod(), gem5::ruby::GPUCoalescer::coalescePacket(), gem5::Clocked::cyclesToTicks(), gem5::PowerISA::IntArithOp::divide(), gem5::trace::Logger::dump(), gem5::BaseRemoteGDB::encodeBinaryData(), gem5::ExecStage::ExecStageStats::ExecStageStats(), gem5::AddrRangeMap< gem5::MemBackdoor, 1 >::find(), gem5::o3::FUPool::FUPool(), gem5::bloom_filter::Bulk::hash(), gem5::ps2::Device::hostRegDataAvailable(), gem5::ps2::Device::hostWrite(), sc_gem5::Kernel::init(), sc_dt::sc_lv_base::init(), gem5::trace::TarmacBaseRecord::InstEntry::InstEntry(), gem5::ruby::intToCycles(), gem5::ruby::intToTick(), sc_dt::scfx_rep::is_neg(), gem5::ps2::PS2Keyboard::keyPress(), sc_dt::sc_fxnum::lock_observer(), sc_dt::lshift(), gem5::LupioTTY::lupioTTYWrite(), gem5::pseudo_inst::m5sum(), gem5::PowerISA::FloatOp::makeCRField(), gem5::PowerISA::IntArithOp::multiply(), multiply3Op(), sc_dt::neg(), sc_core::sc_vector< svp_gicv3_comms::gicv3_comms_target_socket<> >::operator()(), sc_core::sc_vector_assembly< T, MT >::operator()(), gem5::LinearEquation::operator*=(), sc_dt::sc_fxval::operator++(), sc_dt::sc_fxval_fast::operator++(), sc_dt::sc_fxnum::operator++(), sc_dt::sc_fxnum_fast::operator++(), sc_dt::sc_fxval::operator--(), sc_dt::sc_fxval_fast::operator--(), sc_dt::sc_fxnum::operator--(), sc_dt::sc_fxnum_fast::operator--(), sc_core::sc_out< bool >::operator=(), sc_dt::scfx_string::operator[](), gem5::MathExpr::parse(), gem5::ParseParam< VecPredRegContainer< NumBits, Packed > >::parse(), gem5::memory::PhysicalMemory::PhysicalMemory(), tlm::tlm_endian_context_pool::pop(), gem5::linux::printk(), QTIsaac< ALPHA >::QTIsaac(), QTIsaac< ALPHA >::randinit(), gem5::Terminal::read(), gem5::Terminal::readData(), gem5::BaseRemoteGDB::recv(), gem5::ClockDomain::registerWithClockDomain(), sc_gem5::Kernel::regStats(), sc_gem5::Scheduler::requestUpdate(), sc_dt::rsh_scfx_rep(), sc_dt::rshift(), sc_dt::sc_abs(), SC_MODULE(), sc_dt::scfx_exp_start(), sc_dt::scfx_is_equal(), sc_dt::scfx_rep::scfx_rep(), gem5::BaseRemoteGDB::send(), sc_dt::scfx_rep::set_inf(), gem5::ruby::Message::setMsgCounter(), QTIsaac< ALPHA >::shuffle(), gem5::split_first(), gem5::split_last(), QTIsaac< ALPHA >::srand(), sc_gem5::Kernel::startup(), sc_gem5::Kernel::stopWork(), gem5::guest_abi::Result< Aapcs64, Composite, typename std::enable_if_t< IsAapcs64CompositeV< Composite > &&!IsAapcs64HxaV< Composite > > >::store(), TEST(), test2DVoid(), testIntVoid(), gem5::to_lower(), sc_dt::to_string(), gem5::BaseRemoteGDB::try_getbyte(), gem5::PortProxy::tryReadString(), gem5::ps2::Device::unserialize(), gem5::DerivedClockDomain::updateClockPeriod(), gem5::branch_prediction::MultiperspectivePerceptronTAGE::updatePartial(), gem5::statistics::validateStatName(), gem5::Terminal::write(), and gem5::Terminal::writeData().
const int gem5::VegaISA::DWordSize = sizeof(VecElemU32) |
Definition at line 172 of file gpu_registers.hh.
Bitfield<56> gem5::VegaISA::f |
Definition at line 53 of file pagetable.hh.
Referenced by gem5::BaseRemoteGDB::attach(), gem5::BaseGlobalEventTemplate< GlobalSyncEvent >::BaseGlobalEventTemplate(), gem5::bitsToFloat32(), gem5::bitsToFloat64(), gem5::debug::changeFlag(), gem5::dumpDebugFlags(), gem5::Event::Event(), gem5::MemBackdoor::flags(), gem5::floatToBits32(), gem5::floatToBits64(), gem5::RiscvISA::freg(), gem5::PowerModel::getDynamicPower(), gem5::PowerModel::getStaticPower(), gem5::ArmSemihosting::getSTDIO(), gem5::ruby::garnet::flitBuffer::getTopFlit(), gem5::o3::DynInst::hitExternalSnoop(), gem5::IniFile::load(), gem5::branch_prediction::MultiperspectivePerceptron::lookup(), gem5::pseudo_inst::m5sum(), gem5::o3::DynInst::memOpDone(), sc_gem5::newStaticSensitivityFinder(), gem5::Linux::openSpecialFile(), sc_core::sc_sensitive::operator()(), sc_core::sc_sensitive::operator<<(), sc_core::operator<<(), gem5::memory::PhysicalMemory::PhysicalMemory(), gem5::o3::DynInst::possibleLoadViolation(), gem5::CallbackQueue::process(), QTIsaac< ALPHA >::randinit(), gem5::o3::DynInst::recordResult(), gem5::PybindSimObjectResolver::resolveSimObject(), sc_core::sc_bind(), sc_core::sc_spawn_options::set_sensitivity(), gem5::StaticInst::setFlag(), gem5::trace::InstRecord::setMem(), QTIsaac< ALPHA >::shuffle(), gem5::LinearSystem::solve(), sc_gem5::spawnWork(), gem5::guest_abi::Result< Aapcs32, Float, typename std::enable_if_t< std::is_floating_point_v< Float > > >::store(), gem5::guest_abi::Result< Aapcs32Vfp, Float, typename std::enable_if_t< std::is_floating_point_v< Float > > >::store(), TEST(), TEST_F(), RegisterBankTest::TestReg::TestReg(), gem5::trace::InstPBTrace::traceMem(), gem5::o3::DynInst::translationCompleted(), gem5::o3::DynInst::translationStarted(), gem5::branch_prediction::MultiperspectivePerceptron::update(), and gem5::OutputDirectory::~OutputDirectory().
Bitfield<11, 7> gem5::VegaISA::fragment |
Definition at line 58 of file pagetable.hh.
Referenced by gem5::minor::LSQ::SplitDataRequest::makeFragmentPackets(), gem5::minor::LSQ::SplitDataRequest::makeFragmentRequests(), and gem5::VegaISA::Walker::WalkerState::walkStateMachine().
Bitfield<55> gem5::VegaISA::l |
Definition at line 54 of file pagetable.hh.
Referenced by gem5::ProbePointArg< RequestPtr >::addListener(), sc_dt::sc_uint_base::check_range(), sc_dt::sc_int_base::check_range(), sc_dt::sc_unsigned::check_range(), sc_dt::sc_signed::check_range(), gem5::CacheBlk::checkWrite(), gem5::CacheBlk::clearLoadLocks(), gem5::ruby::Topology::createLinks(), sc_dt::scfx_rep::get_slice(), gem5::branch_prediction::MultiperspectivePerceptron::RECENCYPOS::hash(), sc_core::sc_inout< sc_dt::sc_logic >::initialize(), sc_dt::sc_uint_base::invalid_range(), sc_dt::sc_int_base::invalid_range(), sc_dt::sc_unsigned::invalid_range(), sc_dt::sc_signed::invalid_range(), gem5::BaseArmKvmCPU::ioctlRun(), gem5::MemChecker::ByteTracker::lastCompletedTransaction(), gem5::ruby::Topology::makeLink(), tlm_utils::callback_binder_bw< tlm::tlm_base_protocol_types >::nb_transport_bw(), gem5::ProbePointArg< RequestPtr >::notify(), gem5::operator!=(), gem5::PCEventQueue::MapCompare::operator()(), gem5::statistics::operator*(), gem5::operator+(), gem5::statistics::operator+(), gem5::operator-(), gem5::statistics::operator-(), gem5::statistics::operator/(), gem5::operator<(), gem5::operator<=(), sc_core::sc_out_resolved::operator=(), sc_core::sc_inout_resolved::operator=(), sc_core::sc_out_rv< W >::operator=(), sc_core::sc_inout_rv< W >::operator=(), sc_core::sc_signal_resolved::operator=(), sc_core::sc_signal_rv< W >::operator=(), sc_core::sc_inout< sc_dt::sc_logic >::operator=(), gem5::operator==(), gem5::ruby::operator>(), gem5::operator>(), gem5::operator>=(), gem5::MathExpr::parse(), gem5::SparcISA::SparcStaticInst::passesFpCondition(), gem5::AMDMMIOReader::readMMIOTrace(), gem5::ruby::RubyPort::PioResponsePort::recvAtomic(), gem5::ruby::RubyPort::PioResponsePort::recvTimingReq(), gem5::ProbePointArg< RequestPtr >::removeListener(), gem5::memory::PhysicalMemory::serialize(), sc_dt::sc_concref_r< X, Y >::set_cword(), sc_dt::scfx_rep::set_slice(), sc_dt::sc_concref_r< X, Y >::set_word(), TEST_F(), RegisterBankTest::TestReg::TestReg(), gem5::CacheBlk::trackLoadLocked(), gem5::WalkCache::WalkCacheStats::WalkCacheStats(), sc_core::sc_signal_resolved::write(), sc_core::sc_signal_rv< W >::write(), sc_core::sc_inout< sc_dt::sc_logic >::write(), gem5::CoherentXBar::~CoherentXBar(), gem5::NoncoherentXBar::~NoncoherentXBar(), and gem5::ProbeListenerObject::~ProbeListenerObject().
gem5::VegaISA::m |
Definition at line 52 of file pagetable.hh.
Referenced by gem5::memory::PhysicalMemory::access(), gem5::prefetch::Base::addMMU(), gem5::AMDGPUDevice::AMDGPUDevice(), gem5::SrcClockDomain::clockPeriod(), gem5::RealViewOsc::clockPeriod(), gem5::memory::PhysicalMemory::createBackingStore(), gem5::ruby::MessageBuffer::delayHead(), gem5::ruby::MessageBuffer::enqueueDeferredMessages(), gem5::LocalMemPipeline::exec(), gem5::ScalarMemPipeline::exec(), gem5::GlobalMemPipeline::exec(), gem5::ruby::garnet::NetworkInterface::flitisizeMessage(), gem5::memory::PhysicalMemory::functionalAccess(), sc_gem5::Kernel::init(), gem5::init_drain(), gem5::init_loader(), gem5::init_net(), gem5::init_pc(), gem5::init_range(), gem5::init_serialize(), QTIsaac< ALPHA >::isaac(), gem5::DistEtherLink::LocalIface::LocalIface(), gem5::loader::MemoryImage::mask(), gem5::loader::SymbolTable::mask(), sc_core::operator<<(), gem5::memory::PhysicalMemory::PhysicalMemory(), gem5::TesterThread::printOutstandingReqs(), sc_gem5::pushParentModule(), gem5::pybind_init_event(), gem5::pybind_init_stats(), gem5::pybind_init_tracers(), gem5::statistics::pythonDump(), gem5::statistics::pythonReset(), QTIsaac< ALPHA >::randinit(), gem5::ruby::MessageBuffer::reanalyzeList(), sc_gem5::Kernel::regStats(), sc_gem5::reportIdToMsgMap(), sc_gem5::reportMsgInfoMap(), gem5::PybindSimObjectResolver::resolveSimObject(), QTIsaac< ALPHA >::rngstep(), sc_core::sc_export_base::sc_export_base(), sc_core::sc_port_base::sc_port_base(), gem5::memory::PhysicalMemory::serialize(), gem5::DistEtherLink::TxLink::setDistInt(), gem5::DistEtherLink::RxLink::setDistInt(), gem5::KvmVM::setUserMemoryRegion(), gem5::ruby::Topology::shortest_path_to_node(), sc_gem5::Kernel::startup(), gem5::RealViewOsc::startup(), sc_gem5::Kernel::stopWork(), TEST(), gem5::memory::PhysicalMemory::unserialize(), gem5::DerivedClockDomain::updateClockPeriod(), gem5::ruby::NetDest::vecIndex(), and gem5::Gicv2m::write().
const int gem5::VegaISA::MSB_PER_BYTE = (BITS_PER_BYTE - 1) |
Definition at line 145 of file gpu_registers.hh.
Referenced by sdwaInstDstImpl_helper(), and sdwaInstSrcImpl_helper().
const int gem5::VegaISA::MSB_PER_WORD = (BITS_PER_WORD - 1) |
Definition at line 146 of file gpu_registers.hh.
Referenced by sdwaInstDstImpl_helper(), and sdwaInstSrcImpl_helper().
const int gem5::VegaISA::NumNegConstRegs |
Definition at line 141 of file gpu_registers.hh.
const int gem5::VegaISA::NumPosConstRegs |
Definition at line 138 of file gpu_registers.hh.
Bitfield<54> gem5::VegaISA::p |
Definition at line 70 of file pagetable.hh.
Referenced by gem5::_llseekFunc(), gem5::acceptFunc(), gem5::accessImpl(), gem5::ArmISA::SelfDebug::activateDebug(), sc_core::sc_join::add_process(), gem5::ProbeManager::addListener(), gem5::ProbeManager::addPoint(), gem5::memory::MemCtrl::addToReadQueue(), gem5::AMDGPUDevice::AMDGPUDevice(), gem5::ArmRelease::ArmRelease(), gem5::ArmSemihosting::ArmSemihosting(), gem5::ArmSystem::ArmSystem(), gem5::atomic_read(), gem5::atomic_write(), gem5::BaseGic::BaseGic(), gem5::BaseGlobalEventTemplate< GlobalSyncEvent >::BaseGlobalEventTemplate(), gem5::BaseSetAssoc::BaseSetAssoc(), gem5::BaseSimpleCPU::BaseSimpleCPU(), gem5::ruby::BasicLink::BasicLink(), gem5::ruby::BasicRouter::BasicRouter(), gem5::EtherInt::bind(), sc_core::sc_port_base::bind(), sc_core::sc_in< sc_dt::sc_lv< W > >::bind(), sc_core::sc_port_b< tlm_nonblocking_put_if< T > >::bind(), sc_core::sc_in< bool >::bind(), sc_core::sc_in< sc_dt::sc_logic >::bind(), gem5::bindFunc(), gem5::brkFunc(), tlm::circular_buffer< RSP >::buf_free(), tlm::circular_buffer< RSP >::buf_write(), gem5::Cache::Cache(), gem5::ruby::CacheMemory::CacheMemory(), gem5::chdirFunc(), gem5::PacketQueue::checkConflict(), gem5::o3::Checker::Checker(), gem5::CheckerCPU::CheckerCPU(), gem5::ScheduleStage::checkRfOperandReadComplete(), sc_gem5::WriteChecker< sc_core::SC_ONE_WRITER >::checkWriter(), sc_gem5::WriteChecker< sc_core::SC_MANY_WRITERS >::checkWriter(), gem5::chownImpl(), gem5::ProfileNode::clear(), sc_gem5::Scheduler::clear(), gem5::ClockedObject::ClockedObject(), gem5::closeFunc(), gem5::BaseRemoteGDB::cmdAsyncCont(), gem5::BaseRemoteGDB::cmdAsyncStep(), gem5::BaseRemoteGDB::cmdClrHwBkpt(), gem5::BaseRemoteGDB::cmdCont(), gem5::BaseRemoteGDB::cmdIsThreadAlive(), gem5::BaseRemoteGDB::cmdMemR(), gem5::BaseRemoteGDB::cmdMemW(), gem5::BaseRemoteGDB::cmdRegW(), gem5::BaseRemoteGDB::cmdSetHwBkpt(), gem5::BaseRemoteGDB::cmdSetThread(), gem5::BaseCache::CacheStats::cmdStats(), gem5::BaseRemoteGDB::cmdStep(), gem5::CoherentXBar::CoherentXBar(), gem5::connectFunc(), gem5::VirtIO9PSocket::connectSocket(), sc_dt::convert_to_bin(), gem5::CopyEngine::CopyEngine(), gem5::FrameBuffer::copyIn(), gem5::AtagHeader::copyOut(), gem5::FrameBuffer::copyOut(), gem5::fastmodel::CortexA76Cluster::CortexA76Cluster(), gem5::fastmodel::CortexR52Cluster::CortexR52Cluster(), gem5::CowDiskImage::CowDiskImage(), gem5::memory::qos::QueuePolicy::create(), gem5::StreamGen::create(), gem5::GenericTimer::createTimers(), gem5::CustomNoMaliGpu::CustomNoMaliGpu(), gem5::Packet::dataDynamic(), gem5::Packet::dataStatic(), gem5::Packet::dataStaticConst(), sc_gem5::DefaultReportMessages::DefaultReportMessages(), gem5::DirectedGenerator::DirectedGenerator(), sc_gem5::Process::disable(), gem5::DistEtherLink::DistEtherLink(), gem5::memory::DRAMInterface::doBurstAccess(), gem5::doClone(), gem5::loader::doGzipLoad(), sc_core::sc_module::dont_initialize(), gem5::DumbTOD::DumbTOD(), gem5::DummyChecker::DummyChecker(), gem5::ProfileNode::dump(), gem5::FunctionProfile::dump(), gem5::dup2Func(), gem5::dupFunc(), gem5::DVFSHandler::DVFSHandler(), gem5::DynPoolManager::DynPoolManager(), sc_gem5::Process::enable(), sc_core::sc_in< sc_dt::sc_int< W > >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_uint< W > >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_biguint< W > >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_bigint< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_int< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_uint< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_biguint< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_bigint< W > >::end_of_elaboration(), gem5::PciIoBar::EndBitUnion(), gem5::AddressManager::AtomicStruct::endLocSelection(), gem5::SparcISA::TlbMap::erase(), gem5::AddrRangeMap< gem5::MemBackdoor, 1 >::erase(), gem5::EtherLink::EtherLink(), gem5::EtherSwitch::EtherSwitch(), gem5::EtherTapStub::EtherTapStub(), gem5::eventfdFunc(), gem5::execveFunc(), gem5::exitImpl(), gem5::fallocateFunc(), gem5::ruby::FaultModel::FaultModel(), gem5::fchmodFunc(), gem5::fchownFunc(), gem5::fcntl64Func(), gem5::fcntlFunc(), gem5::FetchStage::FetchStage(), gem5::FrameBuffer::fill(), gem5::VGic::findHighestPendingLR(), gem5::CoherentXBar::forwardAtomic(), gem5::CoherentXBar::forwardFunctional(), gem5::CoherentXBar::forwardTiming(), gem5::ArmISA::FsFreebsd::FsFreebsd(), gem5::fstat64Func(), gem5::fstatat64Func(), gem5::fstatfsFunc(), gem5::fstatFunc(), gem5::ArmISA::FsWorkload::FsWorkload(), gem5::ftruncate64Func(), gem5::ftruncateFunc(), gem5::o3::FUPool::FUPool(), gem5::qemu::FwCfg::FwCfg(), gem5::qemu::FwCfgIo::FwCfgIo(), gem5::qemu::FwCfgItemE820::FwCfgItemE820(), gem5::qemu::FwCfgMmio::FwCfgMmio(), gem5::ruby::garnet::GarnetExtLink::GarnetExtLink(), gem5::ruby::garnet::GarnetIntLink::GarnetIntLink(), gem5::ruby::garnet::GarnetNetwork::GarnetNetwork(), gem5::GenericTimer::GenericTimer(), sc_core::sc_simcontext::get_curr_proc_info(), gem5::DRAMPower::getArchParams(), gem5::getcwdFunc(), gem5::DRAMPower::getDataRate(), gem5::DRAMPower::getMemSpec(), sc_gem5::Scheduler::getNextReady(), gem5::IGbE::TxDescCache::getPacketData(), gem5::IGbE::TxDescCache::getPacketSize(), gem5::getpeernameFunc(), gem5::DRAMPower::getPowerParams(), gem5::ArmKvmCPU::getRegList(), gem5::BaseArmKvmCPU::getRegList(), gem5::branch_prediction::SimpleIndirectPredictor::getSetIndex(), gem5::getsocknameFunc(), gem5::getsockoptFunc(), gem5::DRAMPower::getTimingParams(), gem5::GPUComputeDriver::GPUComputeDriver(), gem5::DRAMPower::hasTwoVDD(), gem5::memory::HeteroMemCtrl::HeteroMemCtrl(), gem5::HMCController::HMCController(), gem5::HSAPacketProcessor::HSAPacketProcessor(), gem5::I2CBus::I2CBus(), sc_core::sc_vector_base::implicitCast(), sc_gem5::Kernel::init(), gem5::CpuLocalTimer::init(), gem5::CoherentXBar::init(), sc_core::sc_vector< svp_gicv3_comms::gicv3_comms_target_socket<> >::init(), gem5::branch_prediction::MultiperspectivePerceptron::init(), gem5::ArmISA::ISA::initializeMiscRegMetadata(), sc_gem5::Scheduler::initPhase(), gem5::trace::InstPBTrace::InstPBTrace(), gem5::InvalidateGenerator::InvalidateGenerator(), gem5::GenericPageTableFault::invoke(), gem5::SparcISA::FastInstructionAccessMMUMiss::invoke(), gem5::SparcISA::FastDataAccessMMUMiss::invoke(), gem5::SparcISA::SpillNNormal::invoke(), gem5::SparcISA::FillNNormal::invoke(), gem5::SparcISA::TrapInstruction::invoke(), gem5::Iob::Iob(), gem5::ioctlFunc(), gem5::ArmISA::ISA::ISA(), gem5::IsaFake::IsaFake(), gem5::KernelWorkload::KernelWorkload(), sc_gem5::Process::kill(), gem5::KvmKernelGicV2::KvmKernelGicV2(), gem5::KvmKernelGicV3::KvmKernelGicV3(), gem5::linkFunc(), gem5::listenFunc(), gem5::lseekFunc(), gem5::SnoopFilter::maskToPortList(), gem5::PowerState::matchPwrState(), gem5::MemberEventWrapper<&BaseRemoteGDB::detach >::MemberEventWrapper(), gem5::MemFootprintProbe::MemFootprintProbe(), gem5::MemTraceProbe::MemTraceProbe(), gem5::memory::DRAMInterface::minBankPrep(), gem5::mkdirImpl(), gem5::mknodImpl(), gem5::mmapFunc(), gem5::ArmISA::MMU::MMU(), gem5::mremapFunc(), gem5::bloom_filter::MultiBitSel::MultiBitSel(), gem5::munmapFunc(), gem5::MuxingKvmGic< Types >::MuxingKvmGic(), gem5::ruby::Network::Network(), gem5::ruby::garnet::NetworkBridge::NetworkBridge(), gem5::ruby::garnet::NetworkLink::NetworkLink(), sc_gem5::newCThreadProcess(), sc_gem5::newDynamicSensitivityEvent(), sc_gem5::newDynamicSensitivityEventAndList(), sc_gem5::newDynamicSensitivityEventOrList(), gem5::newfstatatFunc(), sc_gem5::newMethodProcess(), sc_gem5::newReset(), sc_gem5::newStaticSensitivityEvent(), sc_gem5::newStaticSensitivityExport(), sc_gem5::newStaticSensitivityFinder(), sc_gem5::newStaticSensitivityInterface(), sc_gem5::newStaticSensitivityPort(), sc_gem5::newThreadProcess(), sc_core::next_trigger(), gem5::HDLcd::PixelPump::nextPixel(), gem5::TrafficGen::nextState(), gem5::NoMaliGpu::NoMaliGpu(), gem5::NonCachingSimpleCPU::NonCachingSimpleCPU(), gem5::NoncoherentCache::NoncoherentCache(), gem5::NoncoherentXBar::NoncoherentXBar(), gem5::RawDiskImage::notifyFork(), gem5::openatFunc(), gem5::TypedAtomicOpFunctor< T >::operator()(), sc_core::sc_sensitive::operator()(), sc_core::sc_in< sc_dt::sc_lv< W > >::operator()(), sc_core::sc_module::operator()(), sc_core::sc_port_b< tlm_nonblocking_put_if< T > >::operator()(), gem5::FALRU::PairHash::operator()(), gem5::stl_helpers::hash_impl::hash< std::pair< T, U > >::operator()(), sc_core::sc_in< bool >::operator()(), sc_core::sc_in< sc_dt::sc_logic >::operator()(), sc_core::sc_sensitive::operator<<(), tlm::operator<<(), sc_core::sc_out_resolved::operator=(), sc_core::sc_inout_resolved::operator=(), sc_core::sc_out_rv< W >::operator=(), sc_core::sc_inout_rv< W >::operator=(), sc_dt::scfx_mant::operator=(), sc_core::sc_inout< sc_dt::sc_lv< W > >::operator=(), sc_core::sc_process_handle::operator=(), gem5::RefCountingPtr< MinorDynInst >::operator=(), sc_core::sc_inout< bool >::operator=(), sc_core::sc_inout< sc_dt::sc_logic >::operator=(), gem5::stl_helpers::opExtract_impl::opExtractPrimDisp(), sc_gem5::VcdTraceScope::output(), pairFail(), pairFailStr(), pairFailU64(), pairVal(), pairValStr(), pairValU64(), gem5::MathExpr::parse(), gem5::ListenSocketConfig::parseIni(), gem5::PcCountTracker::PcCountTracker(), gem5::PcCountTrackerManager::PcCountTrackerManager(), gem5::PciDevice::PciDevice(), gem5::PciLegacyIoBar::PciLegacyIoBar(), gem5::PciMemBar::PciMemBar(), tlm_utils::peq_with_cb_and_phase< MultiSocketSimpleSwitchAT >::peq_with_cb_and_phase(), gem5::bloom_filter::Perfect::Perfect(), gem5::ruby::WriteMask::performAtomic(), sc_gem5::pickParentObj(), gem5::pipe2Func(), gem5::pollFunc(), gem5::PowerModel::PowerModel(), gem5::PowerState::PowerState(), gem5::pread64Func(), gem5::linux::printk(), gem5::trace::TarmacParserRecord::TarmacParserRecordEvent::process(), gem5::SimPoint::profile(), gem5::ruby::Profiler::Profiler(), gem5::ProtocolTester::ProtocolTester(), gem5::ps2::PS2Keyboard::PS2Keyboard(), gem5::MemBackdoor::ptr(), gem5::pwrite64Func(), gem5::HDLcd::pxlNext(), gem5::RawDiskImage::RawDiskImage(), gem5::PortProxy::readBlob(), gem5::PortProxy::readBlobPhys(), gem5::memory::DRAMSim2::readComplete(), gem5::memory::DRAMsim3::readComplete(), gem5::pseudo_inst::readfile(), gem5::readFunc(), gem5::readlinkatFunc(), gem5::Iris::ThreadContext::readMem(), gem5::readvFunc(), gem5::PixelConverter::readWord(), sc_gem5::Scheduler::ready(), gem5::RealViewOsc::RealViewOsc(), gem5::recvfromFunc(), gem5::NoncoherentXBar::recvFunctional(), gem5::memory::SimpleMemory::recvFunctional(), gem5::memory::CfiMemory::recvFunctional(), gem5::CoherentXBar::recvFunctional(), gem5::CoherentXBar::recvFunctionalSnoop(), gem5::recvmsgFunc(), gem5::BaseXBar::recvRangeChange(), gem5::RedirectPath::RedirectPath(), sc_gem5::Scheduler::reg(), sc_core::sc_report::register_id(), gem5::RegisterManager::RegisterManager(), gem5::BaseMemProbe::regProbeListeners(), sc_gem5::Kernel::regStats(), gem5::PowerState::PowerStateStats::regStats(), sc_core::sc_mempool::release(), gem5::ProbeManager::removeListener(), gem5::renameImpl(), sc_gem5::Process::reset(), gem5::BaseKvmCPU::restartEqThread(), gem5::ArmISA::HTMCheckpoint::restore(), sc_gem5::Process::resume(), sc_gem5::Scheduler::resume(), gem5::rmdirImpl(), gem5::ruby::RubyPort::ruby_eviction_callback(), gem5::ruby::RubyPort::RubyPort(), gem5::ruby::RubySystem::RubySystem(), sc_gem5::Scheduler::runNext(), sc_gem5::Scheduler::runNow(), gem5::ArmISA::HTMCheckpoint::save(), gem5::prefetch::SBOOE::SBOOE(), sc_core::sc_event_finder_t< sc_core::sc_signal_inout_if< bool > >::sc_event_finder_t(), sc_core::sc_gen_unique_name(), sc_core::sc_spawn(), sc_core::sc_start(), gem5::Scheduler::Scheduler(), gem5::ScheduleStage::ScheduleStage(), gem5::ScheduleToExecute::ScheduleToExecute(), gem5::ScoreboardCheckToSchedule::ScoreboardCheckToSchedule(), gem5::SectorTags::SectorTags(), gem5::selectFunc(), gem5::BaseRemoteGDB::send(), gem5::sendmsgFunc(), gem5::ComputeUnit::sendRequest(), gem5::sendtoFunc(), gem5::PowerState::set(), tlm_utils::passthrough_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::process::set_nb_transport_ptr(), sc_core::sc_spawn_options::set_sensitivity(), gem5::SnoopFilter::setCPUSidePorts(), gem5::Packet::setData(), gem5::statistics::Info::setName(), gem5::EtherInt::setPeer(), Gem5SystemC::ControlExtension::setPrivileged(), gem5::ThreadState::setProcessPtr(), gem5::o3::ThreadContext::setProcessPtr(), gem5::CheckerThreadContext< TC >::setProcessPtr(), gem5::SimpleThread::setProcessPtr(), gem5::BaseKvmCPU::setSignalMask(), gem5::setsockoptFunc(), gem5::CheckerCPU::setSystem(), gem5::OperandInfo::setVirtToPhysMapping(), gem5::shutdownFunc(), gem5::ruby::SimpleExtLink::SimpleExtLink(), gem5::ruby::SimpleNetwork::SimpleNetwork(), gem5::SimPoint::SimPoint(), gem5::socketFunc(), gem5::socketpairFunc(), sc_gem5::spawnWork(), gem5::SrcClockDomain::SrcClockDomain(), gem5::StackDistProbe::StackDistProbe(), gem5::StackDistProbe::StackDistProbeStats::StackDistProbeStats(), gem5::VirtIO9PDiod::startDiod(), sc_gem5::Kernel::startup(), gem5::BaseKvmCPU::startup(), gem5::branch_prediction::StatisticalCorrector::StatisticalCorrector(), gem5::DmaReadFifo::stopFill(), sc_gem5::Kernel::stopWork(), gem5::SubSystem::SubSystem(), sc_gem5::Process::suspend(), sc_gem5::Scheduler::suspend(), gem5::ruby::Switch::Switch(), gem5::symlinkFunc(), sc_gem5::Process::syncResetOff(), sc_gem5::Process::syncResetOn(), gem5::trace::TarmacParser::TarmacParser(), gem5::Terminal::Terminal(), gem5::Terminal::terminalDump(), TEST(), gem5::ArmISA::SelfDebug::testBreakPoints(), gem5::ArmISA::SelfDebug::testWatchPoints(), sc_gem5::Process::throw_it(), sc_gem5::throw_it_wrapper(), sc_core::timed_out(), gem5::timeFunc(), gem5::ArmISA::TLB::TLB(), sc_dt::sc_logic::to_bool(), gem5::RiscvISA::TLB::translate(), gem5::ArmISA::MMU::translateSe(), gem5::truncateFunc(), gem5::Process::tryLoaders(), gem5::TranslatingPortProxy::tryReadBlob(), gem5::PortProxy::tryReadBlob(), gem5::TranslatingPortProxy::tryWriteBlob(), gem5::PortProxy::tryWriteBlob(), gem5::unlinkImpl(), gem5::TLBCoalescer::updatePhysAddresses(), sc_core::sc_port_b< tlm_nonblocking_put_if< T > >::vbind(), gem5::VncServer::VncServer(), vring_init(), sc_core::wait(), gem5::wait4Func(), gem5::Wavefront::Wavefront(), gem5::System::workItemBegin(), gem5::System::workItemEnd(), sc_core::sc_signal_resolved::write(), sc_core::sc_signal_rv< W >::write(), gem5::PortProxy::writeBlob(), gem5::PortProxy::writeBlobPhys(), gem5::memory::DRAMSim2::writeComplete(), gem5::memory::DRAMsim3::writeComplete(), gem5::Packet::writeData(), gem5::writeFunc(), gem5::Iris::ThreadContext::writeMem(), gem5::writevFunc(), gem5::PixelConverter::writeWord(), gem5::X86IdeController::X86IdeController(), gem5::CoherentXBar::~CoherentXBar(), gem5::DmaReadFifo::~DmaReadFifo(), and gem5::prefetch::Queued::~Queued().
Definition at line 42 of file page_size.hh.
Referenced by gem5::RiscvProcess::argsInit(), gem5::ArmProcess32::ArmProcess32(), gem5::ArmProcess64::ArmProcess64(), gem5::VegaTLBCoalescer::canCoalesce(), gem5::VegaISA::GpuTLB::handleFuncTranslationReturn(), gem5::PowerProcess::initState(), gem5::MipsProcess::initState(), gem5::RiscvProcess64::initState(), gem5::Sparc32Process::initState(), gem5::RiscvProcess32::initState(), gem5::ArmProcess32::initState(), gem5::Sparc64Process::initState(), gem5::ArmProcess64::initState(), gem5::VegaISA::GpuTLB::issueTLBLookup(), gem5::MipsProcess::MipsProcess(), gem5::PowerProcess::PowerProcess(), gem5::VegaTLBCoalescer::processProbeTLBEvent(), gem5::VegaTLBCoalescer::CpuSidePort::recvFunctional(), gem5::VegaISA::GpuTLB::CpuSidePort::recvFunctional(), gem5::VegaISA::GpuTLB::CpuSidePort::recvTimingReq(), gem5::VegaISA::GpuTLB::MemSidePort::recvTimingResp(), gem5::RiscvProcess32::RiscvProcess32(), gem5::RiscvProcess64::RiscvProcess64(), gem5::VegaTLBCoalescer::updatePhysAddresses(), and gem5::VegaISA::GpuTLB::walkerResponse().
const Addr gem5::VegaISA::PageShift = 12 |
Definition at line 41 of file page_size.hh.
Referenced by gem5::VegaISA::GpuTLB::demapPage(), gem5::RiscvISA::TLB::doTranslate(), gem5::VegaISA::Walker::WalkerState::initState(), gem5::VegaISA::GpuTLB::insert(), gem5::VegaISA::GpuTLB::lookup(), gem5::VegaISA::GpuTLB::lookupIt(), gem5::VegaISA::GpuTLB::pageAlign(), gem5::VegaISA::GpuTLB::CpuSidePort::recvFunctional(), gem5::VegaISA::Walker::WalkerState::startFunctional(), gem5::VegaISA::Walker::startFunctional(), gem5::VegaISA::Walker::startTiming(), gem5::VegaISA::Walker::WalkerState::startWalk(), gem5::RiscvISA::TLB::translateWithTLB(), gem5::VegaISA::GpuTLB::walkerResponse(), and gem5::VegaISA::Walker::WalkerState::walkStateMachine().
Bitfield<47, 12> gem5::VegaISA::ppn |
Definition at line 57 of file pagetable.hh.
Referenced by gem5::prefetch::SignaturePath::addPrefetch(), gem5::prefetch::SignaturePath::auxiliaryPrefetcher(), gem5::prefetch::SignaturePath::calculatePrefetch(), and gem5::prefetch::SignaturePath::getSignatureEntry().
Bitfield<5> gem5::VegaISA::r |
Definition at line 60 of file pagetable.hh.
Referenced by gem5::__to_number(), sc_gem5::ScSignalBaseBinary::_signalReset(), gem5::ThermalModel::addReference(), gem5::ThermalModel::addResistor(), gem5::memory::DRAMInterface::allRanksDrained(), gem5::ruby::Set::AND(), gem5::branch_prediction::BPredUnit::BPredUnit(), sc_dt::sc_uint_base::check_range(), sc_dt::sc_int_base::check_range(), sc_dt::sc_unsigned::check_range(), sc_dt::sc_signed::check_range(), gem5::AddrRangeMap< gem5::MemBackdoor, 1 >::contains(), gem5::ArmISA::decodeMrsMsrBankedIntRegIndex(), gem5::ArmISA::decodeMrsMsrBankedReg(), gem5::ruby::DirectoryMemory::DirectoryMemory(), gem5::PowerISA::IntArithOp::divide(), gem5::loader::doGzipLoad(), gem5::memory::DRAMInterface::drainRanks(), sc_gem5::Port::finalize(), gem5::SparcISA::TlbMap::find(), gem5::AddrRangeMap< gem5::MemBackdoor, 1 >::find(), gem5::statistics::Formula::Formula(), gem5::ArmISA::fp64_sqrt(), gem5::ruby::RubyPort::PioResponsePort::getAddrRanges(), gem5::AMDGPUDevice::getAddrRanges(), sc_gem5::Gem5ToTlmBridge< BITWIDTH >::getBackdoor(), gem5::memory::PhysicalMemory::getConfAddrRanges(), gem5::ruby::Sequencer::getHitTypeMachLatencyHist(), gem5::ruby::Sequencer::getMissTypeMachLatencyHist(), gem5::ruby::GPUCoalescer::getMissTypeMachLatencyHist(), gem5::MipsISA::RemoteGDB::MipsGdbRegCache::getRegs(), gem5::ArmISA::RemoteGDB::AArch32GdbRegCache::getRegs(), gem5::X86ISA::RemoteGDB::X86GdbRegCache::getRegs(), gem5::X86ISA::RemoteGDB::AMD64GdbRegCache::getRegs(), gem5::RiscvISA::RemoteGDB::Riscv32GdbRegCache::getRegs(), gem5::RiscvISA::RemoteGDB::Riscv64GdbRegCache::getRegs(), gem5::o3::LSQ::SplitDataRequest::handleLocalAccess(), gem5::o3::LSQ::SplitDataRequest::initiateTranslation(), gem5::BaseCache::inRange(), gem5::SparcISA::TlbMap::insert(), gem5::AddrRangeMap< gem5::MemBackdoor, 1 >::insert(), gem5::SparcISA::TlbMap::intersect(), gem5::ruby::Set::intersectionIsEmpty(), gem5::VMA::intersects(), gem5::AddrRangeMap< gem5::MemBackdoor, 1 >::intersects(), gem5::AddrRange::intersects(), sc_dt::sc_uint_base::invalid_range(), sc_dt::sc_int_base::invalid_range(), sc_dt::sc_unsigned::invalid_range(), sc_dt::sc_signed::invalid_range(), sc_gem5::Gem5ToTlmBridge< BITWIDTH >::invalidate_direct_mem_ptr(), sc_dt::scfx_ieee_float::is_inf(), sc_dt::scfx_ieee_float::is_nan(), QTIsaac< ALPHA >::isaac(), gem5::memory::DRAMInterface::isBusy(), gem5::o3::LSQ::SplitDataRequest::isCacheBlockHit(), gem5::ruby::DirectoryMemory::isPresent(), gem5::VMA::isStrictSuperset(), gem5::VMA::isSubset(), gem5::AddrRange::isSubset(), gem5::ruby::Set::isSuperset(), gem5::ruby::lookupTraceForAddress(), gem5::LupioBLK::lupioBLKRead(), gem5::LupioIPI::lupioIPIRead(), gem5::LupioPIC::lupioPicRead(), gem5::LupioRNG::lupioRNGRead(), gem5::LupioRTC::lupioRTCRead(), gem5::LupioTMR::lupioTMRRead(), sc_gem5::ScMainFiber::main(), gem5::ruby::DirectoryMemory::mapAddressToLocalIdx(), gem5::VMA::mergesWith(), gem5::AddrRange::mergesWith(), gem5::operator!=(), gem5::AddrRange::operator!=(), gem5::AddrRange::operator&(), gem5::PCEventQueue::MapCompare::operator()(), gem5::statistics::operator*(), gem5::operator+(), gem5::statistics::operator+(), gem5::statistics::Formula::operator+=(), gem5::operator-(), gem5::statistics::operator-(), gem5::statistics::operator/(), gem5::statistics::Formula::operator/=(), gem5::operator<(), gem5::AddrRange::operator<(), gem5::operator<=(), sc_core::sc_report::operator=(), sc_core::sc_signal_resolved::operator=(), sc_core::sc_signal_rv< W >::operator=(), gem5::RefCountingPtr< MinorDynInst >::operator=(), gem5::statistics::Formula::operator=(), gem5::operator==(), gem5::AddrRange::operator==(), gem5::ruby::operator>(), gem5::operator>(), gem5::operator>=(), gem5::ruby::Set::OR(), gem5::MathExpr::parse(), gem5::ruby::PersistentTable::persistentRequestLock(), gem5::memory::PhysicalMemory::PhysicalMemory(), QTIsaac< ALPHA >::randinit(), gem5::MemBackdoor::range(), gem5::MemBackdoor::readable(), gem5::Iris::ThreadContext::readMem(), gem5::ArmISA::recipEstimate(), gem5::ArmISA::recipSqrtEstimate(), gem5::ruby::RubyPort::PioRequestPort::recvRangeChange(), gem5::BaseXBar::recvRangeChange(), gem5::RefCountingPtr< MinorDynInst >::RefCountingPtr(), sc_gem5::reportifyException(), gem5::ArmSemihosting::retOK(), QTIsaac< ALPHA >::rngstep(), gem5::ArmISA::MMU::s1PermBits64(), gem5::ArmISA::MMU::s2PermBits64(), SC_MODULE(), gem5::Cache::sendMSHRQueuePacket(), sc_dt::sc_concref_r< X, Y >::set_cword(), sc_dt::sc_concref_r< X, Y >::set_word(), gem5::ArmISA::ISA::setMiscReg(), gem5::fastmodel::FastmodelRemoteGDB::AArch64GdbRegCache::setRegs(), gem5::MipsISA::RemoteGDB::MipsGdbRegCache::setRegs(), gem5::ArmISA::RemoteGDB::AArch32GdbRegCache::setRegs(), gem5::X86ISA::RemoteGDB::X86GdbRegCache::setRegs(), gem5::ArmISA::RemoteGDB::AArch64GdbRegCache::setRegs(), gem5::X86ISA::RemoteGDB::AMD64GdbRegCache::setRegs(), gem5::RiscvISA::RemoteGDB::Riscv32GdbRegCache::setRegs(), gem5::RiscvISA::RemoteGDB::Riscv64GdbRegCache::setRegs(), gem5::o3::LSQUnit::LSQEntry::setRequest(), gem5::memory::DRAMInterface::startup(), gem5::memory::DRAMInterface::suspend(), gem5::o3::LSQ::LSQRequest::taskId(), TEST(), TEST_F(), RegisterBankTest::TestReg::TestReg(), gem5::LinearSystem::toStr(), gem5::MSHR::updateLockedRMWReadTarget(), sc_dt::vec_div_small(), gem5::VMA::VMA(), gem5::Iris::ThreadContext::writeMem(), and gem5::o3::LSQ::LSQRequest::~LSQRequest().
const int gem5::VegaISA::RegSizeDWords = sizeof(VecElemU32) / DWordSize |
Size of a single-precision register in DWords.
Definition at line 176 of file gpu_registers.hh.
Bitfield< 1 > gem5::VegaISA::s |
Definition at line 64 of file pagetable.hh.
Referenced by gem5::IniFile::add(), sc_gem5::Event::addSensitivity(), sc_gem5::Process::addStatic(), gem5::AMDGPUDevice::AMDGPUDevice(), sc_dt::scfx_string::append(), sc_core::sc_module::at_negedge(), sc_core::at_negedge(), sc_core::sc_module::at_posedge(), sc_core::at_posedge(), gem5::atomic_read(), gem5::atomic_write(), tlm_utils::multi_passthrough_initiator_socket< MultiSocketSimpleSwitchAT >::before_end_of_elaboration(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::bw_process::bw_process(), gem5::debug::changeFlag(), gem5::prefetch::AccessMapPatternMatching::checkCandidate(), gem5::AtagCmdline::cmdline(), gem5::BaseRemoteGDB::cmdQueryVar(), sc_dt::concat(), sc_dt::convert_signed_2C_to_SM(), sc_dt::convert_signed_SM_to_2C(), sc_dt::convert_SM_to_2C(), sc_dt::convert_to_bin(), sc_gem5::Event::delSensitivity(), tlm_utils::convenience_socket_cb_holder::display_warning(), gem5::ExecStage::dispStatusToStr(), gem5::ScheduleStage::doDispatchListTransition(), gem5::statistics::VectorBase< Vector, StatStor >::doInit(), gem5::statistics::VectorDistBase< VectorAverageDeviation, AvgSampleStor >::doInit(), gem5::o3::DynInst::dump(), gem5::ExecStage::dumpDispList(), gem5::eat_end_white(), gem5::eat_lead_white(), gem5::eat_white(), gem5::emptyStrings(), gem5::statistics::VectorInfo::enable(), gem5::statistics::VectorDistInfo::enable(), gem5::ExecStage::exec(), gem5::ExecStage::ExecStageStats::ExecStageStats(), sc_gem5::Port::finalize(), gem5::o3::FUPool::FUPool(), gem5::Random::init(), sc_dt::sc_lv_base::init(), sc_gem5::Reset::install(), gem5::SMMUTLB::invalidateAll(), gem5::ARMArchTLB::invalidateAll(), gem5::IPACache::invalidateAll(), gem5::ConfigCache::invalidateAll(), gem5::WalkCache::invalidateAll(), gem5::SMMUTLB::invalidateASID(), gem5::ARMArchTLB::invalidateASID(), gem5::WalkCache::invalidateASID(), gem5::IPACache::invalidateIPAA(), gem5::SMMUTLB::invalidateSID(), gem5::ConfigCache::invalidateSID(), gem5::WalkCache::invalidateVA(), gem5::ARMArchTLB::invalidateVAA(), gem5::WalkCache::invalidateVAA(), gem5::SMMUTLB::invalidateVMID(), gem5::ARMArchTLB::invalidateVMID(), gem5::IPACache::invalidateVMID(), gem5::WalkCache::invalidateVMID(), tlm::tlm_base_target_socket< 64, SignalInterruptFwIf, SignalInterruptBwIf >::kind(), tlm::tlm_base_initiator_socket< 64, SignalInterruptFwIf, SignalInterruptBwIf >::kind(), gem5::Logger::log(), gem5::SMMUTLB::lookupAnyVA(), gem5::EmulatedDriver::match(), gem5::statistics::Group::mergeStatGroup(), sc_dt::mul_signs(), sc_gem5::newDynamicSensitivityEvent(), sc_gem5::newDynamicSensitivityEventAndList(), sc_gem5::newDynamicSensitivityEventOrList(), sc_gem5::newReset(), sc_gem5::newStaticSensitivityEvent(), sc_gem5::newStaticSensitivityExport(), sc_gem5::newStaticSensitivityFinder(), sc_gem5::newStaticSensitivityInterface(), sc_gem5::newStaticSensitivityPort(), sc_gem5::Event::notify(), sc_dt::scfx_rep::operator new(), sc_dt::operator!=(), tlm::tlm_base_target_socket< 64, SignalInterruptFwIf, SignalInterruptBwIf >::operator()(), sc_dt::operator*(), sc_dt::operator/(), tlm::operator<<(), sc_core::operator<<(), gem5::ParseParam< T, decltype(to_number("", std::declval< T & >()), void())>::parse(), gem5::ParseParam< bool >::parse(), gem5::ParseParam< std::string >::parse(), gem5::ParseParam< VecPredRegContainer< NumBits, Packed > >::parse(), gem5::ParseParam< BitUnionType< T > >::parse(), gem5::ParseParam< T, std::enable_if_t< std::is_base_of_v< typename RegisterBankBase::RegisterBaseBase, T > > >::parse(), gem5::MSHR::TargetList::print(), gem5::CacheBlk::print(), sc_dt::print_dec(), sc_dt::print_other(), gem5::PcCountTrackerManager::printAllTargets(), gem5::linux::printk(), gem5::quote(), gem5::Random::Random(), gem5::ruby::AbstractController::recvTimingResp(), tlm_utils::simple_target_socket_b< SimpleLTTarget_ext, BUSWIDTH, my_extended_payload_types >::register_transport_dbg(), gem5::replace(), gem5::EventQueue::replaceHead(), gem5::ScheduleStage::reserveResources(), gem5::statistics::Group::resetStats(), gem5::SyscallReturn::retry(), sc_gem5::Process::satisfySensitivity(), sc_dt::sc_bv_base::sc_bv_base(), SC_MODULE(), sc_dt::sc_concatref::scan(), sc_dt::sc_uint_subref::scan(), sc_dt::sc_int_subref::scan(), sc_dt::sc_uint_base::scan(), sc_dt::sc_int_base::scan(), sc_dt::scfx_parse_base(), sc_dt::scfx_parse_sign(), gem5::TCPIface::sendCmd(), gem5::memory::PhysicalMemory::serialize(), gem5::ruby::AbstractController::serviceMemoryQueue(), sc_gem5::Process::setDynamic(), gem5::trace::InstRecord::setMem(), Gem5SystemC::ControlExtension::setSecure(), Gem5SystemC::ControlExtension::setStreamId(), Gem5SystemC::ControlExtension::setSubstreamId(), gem5::ruby::SimpleNetwork::SimpleNetwork(), gem5::split_first(), gem5::split_last(), QTIsaac< ALPHA >::srand(), tlm_utils::simple_target_socket_tagged_b< SimpleBusLT, 32, tlm::tlm_base_protocol_types >::start_of_simulation(), gem5::startswith(), sc_gem5::Kernel::status(), sc_gem5::Scheduler::status(), gem5::BaseRemoteGDB::TrapEvent::stopReason(), sc_gem5::Process::terminate(), TEST(), TEST_F(), gem5::to_bool(), sc_dt::sc_fxval_fast::to_dec(), sc_dt::sc_fxnum::to_dec(), sc_dt::sc_fxnum_fast::to_dec(), gem5::to_lower(), gem5::PcCountPair::to_string(), sc_dt::to_string(), sc_dt::scfx_rep::to_uint64(), gem5::tokenize(), gem5::trace::InstPBTrace::traceMem(), sc_dt::trim_unsigned(), gem5::RegisterBank< BankByteOrder >::RegisterLBuf< 0x80 >::unserialize(), gem5::RegisterBank< BankByteOrder >::Register< BackingType >::unserialize(), gem5::WalkCache::WalkCacheStats::WalkCacheStats(), gem5::memory::PhysicalMemory::~PhysicalMemory(), and sc_gem5::Process::~Process().
Bitfield<53,52> gem5::VegaISA::sw |
Definition at line 55 of file pagetable.hh.
Referenced by sc_dt::operator<<().
Bitfield<51> gem5::VegaISA::t |
Definition at line 56 of file pagetable.hh.
Referenced by gem5::RiscvISA::_rvk_emu_aes64ks1i(), gem5::RiscvISA::_rvk_emu_aes64ks2(), adapt_ext2gp< BUSWIDTH >::adapt_ext2gp(), gem5::ArmSemihosting::ArmSemihosting(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b2nb_thread(), sc_gem5::TlmToGem5Bridge< BITWIDTH >::b_transport(), adapt_gp2ext< BUSWIDTH >::backward_nb_transport(), SimpleATTarget2::beginResponse(), SimpleATTarget1::beginResponse(), gem5::bitfield_backend::bitfieldBackendPrinter(), gem5::fastmodel::AmbaToTlmBridge64::bTransport(), gem5::fastmodel::AmbaFromTlmBridge64::bTransport(), tlm_utils::simple_target_socket_tagged_b< SimpleBusLT, 32, tlm::tlm_base_protocol_types >::bw_invalidate_direct_mem_ptr(), gem5::branch_prediction::TAGE_SC_L_TAGE::calculateIndicesAndTags(), gem5::IGbE::chkInterrupt(), gem5::SparcISA::TLB::clearUsedBits(), sc_dt::concat(), gem5::prefetch::Queued::DeferredPacket::createPkt(), SimpleBusLT< NR_OF_INITIATORS, NR_OF_TARGETS >::decode(), sc_gem5::Event::delSensitivity(), gem5::o3::CPU::drain(), gem5::ProtocolTester::dumpErrorLog(), gem5::HDLcd::PixelPump::dumpSettings(), gem5::Packet::findNextSenderState(), adapt_gp2ext< BUSWIDTH >::forward_nb_transport(), MultiSocketSimpleSwitchAT::free(), sc_core::sc_time::from_seconds(), sc_core::sc_time::from_value(), MultiSocketSimpleSwitchAT::fwPEQcb(), gem5::Serializable::generateCheckpointOut(), gem5::ruby::Sequencer::getFirstResponseToCompletionDelayHist(), gem5::ruby::GPUCoalescer::getFirstResponseToCompletionDelayHist(), gem5::ruby::Sequencer::getForwardRequestToFirstResponseHist(), gem5::ruby::GPUCoalescer::getForwardRequestToFirstResponseHist(), gem5::branch_prediction::MultiperspectivePerceptron::RECENCYPOS::getHash(), gem5::ruby::Sequencer::getHitMachLatencyHist(), gem5::ruby::Sequencer::getHitTypeLatencyHist(), gem5::ruby::Sequencer::getHitTypeMachLatencyHist(), gem5::ruby::Sequencer::getIncompleteTimes(), gem5::ruby::Sequencer::getInitialToForwardDelayHist(), gem5::ruby::GPUCoalescer::getInitialToForwardDelayHist(), gem5::ruby::Sequencer::getIssueToInitialDelayHist(), gem5::ruby::GPUCoalescer::getIssueToInitialDelayHist(), gem5::ruby::Sequencer::getMissMachLatencyHist(), gem5::ruby::GPUCoalescer::getMissMachLatencyHist(), gem5::ruby::Sequencer::getMissTypeLatencyHist(), gem5::ruby::GPUCoalescer::getMissTypeLatencyHist(), gem5::ruby::Sequencer::getMissTypeMachLatencyHist(), gem5::ruby::GPUCoalescer::getMissTypeMachLatencyHist(), gem5::ruby::Sequencer::getTypeLatencyHist(), gem5::ruby::GPUCoalescer::getTypeLatencyHist(), gem5::branch_prediction::MultiperspectivePerceptron::RECENCYPOS::hash(), sc_core::sc_inout< sc_dt::sc_lv< W > >::initialize(), gem5::System::Threads::insert(), gem5::TimingSimpleCPU::IprEvent::IprEvent(), SimpleLTInitiator2::logEndTransaction(), SimpleLTInitiator3::logEndTransaction(), SimpleLTInitiator_ext::logEndTransaction(), SimpleLTInitiator1_dmi::logEndTransaction(), SimpleATInitiator2::logEndTransaction(), SimpleATInitiator1::logEndTransaction(), gem5::SparcISA::TLB::lookup(), sc_dt::multiply(), SimpleLTTarget_ext::myNBTransport(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb2b_thread(), tlm::tlm_put_get_imp< RSP, REQ >::nb_can_get(), tlm::tlm_put_get_imp< RSP, REQ >::nb_can_peek(), tlm::tlm_put_get_imp< RSP, REQ >::nb_can_put(), tlm::tlm_put_get_imp< RSP, REQ >::nb_get(), tlm::tlm_put_get_imp< RSP, REQ >::nb_peek(), tlm::tlm_put_get_imp< RSP, REQ >::nb_put(), sc_core::sc_fifo_in< T >::nb_read(), sc_core::sc_fifo< T >::nb_read(), tlm_utils::callback_binder_fw< tlm::tlm_base_protocol_types >::nb_transport_fw(), sc_core::sc_fifo_out< T >::nb_write(), sc_core::sc_fifo< T >::nb_write(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::next_trigger(), sc_core::sc_event_queue::notify(), sc_gem5::Event::notify(), sc_core::sc_event::notify(), sc_core::sc_event::notify_delayed(), sc_gem5::Event::notifyDelayed(), tlm::tlm_put_get_imp< RSP, REQ >::ok_to_get(), tlm::tlm_put_get_imp< RSP, REQ >::ok_to_peek(), tlm::tlm_put_get_imp< RSP, REQ >::ok_to_put(), sc_core::sc_time::operator!=(), gem5::ruby::ExpectedMap< RespType, DataType >::ExpectedState< Type >::EnumClassHash::operator()(), gem5::stl_helpers::hash_impl::hash< std::tuple< T... > >::operator()(), gem5::stl_helpers::hash_impl::hash< T, std::enable_if_t< !is_std_hash_enabled_v< T > &&is_iterable_v< T > > >::operator()(), sc_core::operator*(), gem5::CircularQueue< T >::iterator::operator+(), gem5::CircularQueue< T >::iterator::operator++(), sc_core::sc_time::operator+=(), gem5::CircularQueue< T >::iterator::operator+=(), gem5::CircularQueue< T >::iterator::operator-(), gem5::CircularQueue< T >::iterator::operator--(), sc_core::sc_time::operator-=(), gem5::CircularQueue< T >::iterator::operator-=(), sc_core::operator/(), sc_core::sc_time::operator<(), sc_core::operator<<(), sc_core::sc_time::operator<=(), sc_core::sc_out< bool >::operator=(), sc_core::sc_time::operator=(), sc_core::sc_inout< sc_dt::sc_lv< W > >::operator=(), sc_core::sc_fifo< T >::operator=(), gem5::networking::TcpPtr::operator=(), gem5::networking::UdpPtr::operator=(), sc_core::sc_time::operator==(), sc_core::sc_time::operator>(), sc_core::sc_time::operator>=(), gem5::TrafficGen::parseConfig(), tlm_utils::peq_with_cb_and_phase< MultiSocketSimpleSwitchAT >::peq_with_cb_and_phase(), gem5::o3::DynInst::popResult(), gem5::SparcISA::PageTableEntry::populate(), gem5::MSHR::TargetList::populateFlags(), gem5::IGbE::postInterrupt(), gem5::WriteQueueEntry::TargetList::print(), gem5::MSHR::TargetList::print(), gem5::MSHR::promoteDeferredTargets(), gem5::MSHR::promoteReadable(), gem5::MSHR::promoteWritable(), sc_gem5::NodeList< Process >::pushFirst(), sc_gem5::NodeList< Process >::pushLast(), tlm::tlm_put_get_imp< RSP, REQ >::put(), gem5::pybind_init_core(), gem5::pybind_init_event(), gem5::System::Threads::quiesce(), gem5::System::Threads::quiesceTick(), sc_core::sc_fifo_in< T >::read(), sc_core::sc_fifo< T >::read(), gem5::RealViewTemperatureSensor::read(), gem5::SerialLink::SerialLinkResponsePort::recvTimingReq(), gem5::SerialLink::SerialLinkRequestPort::recvTimingResp(), tlm_utils::simple_target_socket_b< SimpleLTTarget_ext, BUSWIDTH, my_extended_payload_types >::register_b_transport(), tlm_utils::simple_target_socket_tagged_b< SimpleBusLT, 32, tlm::tlm_base_protocol_types >::register_get_direct_mem_ptr(), gem5::System::Threads::replace(), gem5::EventQueue::replaceHead(), gem5::MSHR::TargetList::replaceUpgrades(), SimpleBusAT< NR_OF_INITIATORS, NR_OF_TARGETS >::RequestThread(), SimpleBusAT< NR_OF_INITIATORS, NR_OF_TARGETS >::ResponseThread(), SC_MODULE(), sc_core::sc_start(), sc_core::sc_time::sc_time(), sc_core::sc_time_tuple::sc_time_tuple(), gem5::TimingSimpleCPU::TimingCPUPort::TickEvent::schedule(), gem5::System::serialize(), gem5::o3::DynInst::setResult(), sc_gem5::Process::setTimeout(), gem5::StaticInst::simpleAsBytes(), SimpleLTTarget1::SimpleLTTarget1(), gem5::LinearSystem::solve(), tlm_utils::simple_target_socket_b< SimpleLTTarget_ext, BUSWIDTH, my_extended_payload_types >::start_of_simulation(), gem5::BaseCPU::suspendContext(), gem5::SimulatorThreads::terminateThreads(), TEST(), gem5::Clocked::ticksToCycles(), gem5::timeFunc(), tlm::tlm_transport_if< REQ, RSP >::transport(), gem5::WriteQueueEntry::TargetList::trySatisfyFunctional(), gem5::MSHR::TargetList::trySatisfyFunctional(), gem5::SerialLink::SerialLinkResponsePort::trySendTiming(), gem5::SerialLink::SerialLinkRequestPort::trySendTiming(), gem5::QARMA::tweakCellInvRot(), gem5::QARMA::tweakCellRot(), gem5::BaseRemoteGDB::TrapEvent::type(), gem5::Globals::unserialize(), gem5::System::unserialize(), gem5::branch_prediction::MPP_TAGE::updatePathAndGlobalHistory(), gem5::branch_prediction::TAGE_SC_L_TAGE::updatePathAndGlobalHistory(), sc_core::sc_prim_channel::wait(), sc_core::sc_module::wait(), sc_core::wait(), sc_core::sc_buffer< T, WRITER_POLICY >::write(), sc_core::sc_fifo_out< T >::write(), sc_core::sc_fifo< T >::write(), sc_core::sc_inout< sc_dt::sc_lv< W > >::write(), sc_gem5::ScSignalBaseT< bool, WRITER_POLICY >::write(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::process_handle_list::~process_handle_list(), and tlm_utils::tlm_quantumkeeper::~tlm_quantumkeeper().
Bitfield< 0 > gem5::VegaISA::v |
Definition at line 65 of file pagetable.hh.
Referenced by sc_gem5::VcdTraceFile::addNewTraceVal(), gem5::ruby::garnet::RoutingUnit::addRoute(), sc_gem5::VcdTraceFile::addTraceVal(), gem5::trace::TarmacParserRecord::advanceTrace(), sc_dt::sc_concat_bool::allocate(), gem5::IniFile::Entry::appendValue(), gem5::o3::LSQ::cacheBlocked(), sc_dt::copy_digits_signed(), gem5::ruby::Topology::createLinks(), gem5::ruby::Topology::extend_shortest_path(), gem5::VecPredRegContainer< size, T >::getBits(), gem5::stl_helpers::hash_impl::hash_value(), gem5::htop9(), gem5::branch_prediction::MultiperspectivePerceptron::insert(), sc_gem5::Process::isUnwinding(), gem5::ruby::Topology::makeLink(), gem5::PortProxy::memsetBlob(), gem5::PortProxy::memsetBlobPhys(), sc_gem5::newReset(), sc_dt::operator!=(), sc_dt::operator%(), sc_dt::sc_uint_base::operator%=(), sc_dt::sc_int_base::operator%=(), sc_dt::sc_unsigned::operator%=(), sc_dt::sc_signed::operator%=(), sc_dt::operator&(), sc_dt::sc_bitref< X >::operator&=(), sc_dt::sc_uint_base::operator&=(), sc_dt::sc_int_base::operator&=(), sc_dt::sc_unsigned::operator&=(), sc_dt::sc_signed::operator&=(), sc_dt::operator*(), sc_dt::sc_int< W >::operator*=(), sc_dt::sc_uint_base::operator*=(), sc_dt::sc_int_base::operator*=(), sc_dt::sc_unsigned::operator*=(), sc_dt::sc_signed::operator*=(), sc_dt::operator+(), sc_dt::sc_int< W >::operator+=(), sc_dt::sc_uint< W >::operator+=(), gem5::statistics::ScalarBase< Scalar, StatStor >::operator+=(), sc_dt::sc_uint_base::operator+=(), sc_dt::sc_int_base::operator+=(), gem5::statistics::ScalarProxy< Stat >::operator+=(), sc_dt::sc_unsigned::operator+=(), sc_dt::sc_signed::operator+=(), sc_dt::operator-(), sc_dt::sc_int< W >::operator-=(), sc_dt::sc_uint< W >::operator-=(), gem5::statistics::ScalarBase< Scalar, StatStor >::operator-=(), sc_dt::sc_uint_base::operator-=(), sc_dt::sc_int_base::operator-=(), gem5::statistics::ScalarProxy< Stat >::operator-=(), sc_dt::sc_unsigned::operator-=(), sc_dt::sc_signed::operator-=(), sc_dt::operator/(), sc_dt::sc_uint_base::operator/=(), sc_dt::sc_int_base::operator/=(), sc_dt::sc_unsigned::operator/=(), sc_dt::sc_signed::operator/=(), sc_dt::operator<(), gem5::stl_helpers::operator<<(), sc_dt::operator<<(), sc_dt::sc_uint_base::operator<<=(), sc_dt::sc_int_base::operator<<=(), sc_dt::sc_unsigned::operator<<=(), sc_dt::sc_signed::operator<<=(), sc_dt::operator<=(), sc_dt::sc_bigint< W >::operator=(), sc_dt::sc_biguint< W >::operator=(), sc_core::sc_int_sigref::operator=(), sc_core::sc_uint_sigref::operator=(), sc_core::sc_unsigned_sigref::operator=(), sc_dt::sc_int< W >::operator=(), sc_dt::sc_uint< W >::operator=(), sc_core::sc_signed_sigref::operator=(), sc_dt::sc_concatref::operator=(), sc_dt::sc_uint_subref::operator=(), sc_dt::sc_int_subref::operator=(), sc_dt::sc_bitref< X >::operator=(), sc_dt::sc_uint_base::operator=(), gem5::statistics::ScalarBase< Scalar, StatStor >::operator=(), sc_dt::sc_int_base::operator=(), gem5::statistics::ScalarProxy< Stat >::operator=(), sc_dt::sc_unsigned::operator=(), sc_dt::sc_signed::operator=(), gem5::statistics::Formula::operator=(), sc_dt::operator==(), sc_dt::operator>(), sc_dt::operator>=(), sc_dt::operator>>(), sc_dt::sc_uint_base::operator>>=(), sc_dt::sc_int_base::operator>>=(), sc_dt::sc_unsigned::operator>>=(), sc_dt::sc_signed::operator>>=(), sc_dt::operator^(), sc_dt::sc_uint_base::operator^=(), sc_dt::sc_int_base::operator^=(), sc_dt::sc_unsigned::operator^=(), sc_dt::sc_signed::operator^=(), sc_dt::operator|(), sc_dt::sc_bitref< X >::operator|=(), sc_dt::sc_uint_base::operator|=(), sc_dt::sc_int_base::operator|=(), sc_dt::sc_unsigned::operator|=(), sc_dt::sc_signed::operator|=(), gem5::stl_helpers::opExtract_impl::opExtractPrimDisp(), gem5::stl_helpers::opExtract_impl::opExtractSecDisp(), gem5::p9toh(), gem5::MathExpr::parse(), gem5::DistIface::RecvScheduler::resumeRecvTicks(), gem5::statistics::DistBase< Distribution, DistStor >::sample(), gem5::statistics::DistProxy< Stat >::sample(), gem5::statistics::SparseHistBase< SparseHistogram, SparseHistStor >::sample(), sc_core::sc_assemble_vector(), sc_dt::sc_bigint< W >::sc_bigint(), sc_dt::sc_biguint< W >::sc_biguint(), sc_core::sc_cref(), sc_dt::sc_int< W >::sc_int(), sc_dt::sc_int_base::sc_int_base(), sc_core::sc_ref(), sc_dt::sc_signed::sc_signed(), sc_core::sc_time::sc_time(), sc_core::sc_trace(), sc_dt::sc_uint< W >::sc_uint(), sc_dt::sc_uint_base::sc_uint_base(), sc_dt::sc_unsigned::sc_unsigned(), sc_dt::sc_uint_base::set(), sc_dt::sc_int_base::set(), sc_dt::sc_unsigned::set(), sc_dt::sc_signed::set(), gem5::Packet::set(), gem5::Packet::setBE(), gem5::Packet::setLE(), gem5::Packet::setRaw(), gem5::IniFile::Entry::setValue(), gem5::OperandInfo::setVirtToPhysMapping(), gem5::swap_byte(), gem5::o3::LSQ::LSQRequest::taskId(), TEST(), TEST_F(), RegisterBankTest::TestReg::TestReg(), gem5::tokenize(), gem5::TranslatingPortProxy::tryMemsetBlob(), gem5::ArmV8KvmCPU::updateKvmState(), gem5::ArmV8KvmCPU::updateThreadContext(), gem5::SDMAEngine::SDMAQueue::valid(), sc_dt::vec_add_small(), sc_dt::vec_complement(), sc_dt::vec_copy_and_zero(), sc_dt::vec_div_small(), sc_dt::vec_find_first_nonzero(), sc_dt::vec_from_str(), sc_dt::vec_mul_small_on(), sc_dt::vec_sub_on(), sc_dt::vec_sub_on2(), sc_dt::vec_sub_small(), sc_dt::vec_to_char(), sc_core::sc_signal< sc_dt::sc_int< W > >::write_part(), sc_core::sc_signal< sc_dt::sc_uint< W > >::write_part(), sc_core::sc_signal< sc_dt::sc_biguint< W > >::write_part(), sc_core::sc_signal< sc_dt::sc_bigint< W > >::write_part(), and sc_dt::sc_bigint< W >::~sc_bigint().
Bitfield<6> gem5::VegaISA::w |
Definition at line 59 of file pagetable.hh.
Referenced by gem5::StaticRegisterManagerPolicy::allocateRegisters(), gem5::RegisterManager::allocateRegisters(), sc_dt::sc_bitref< X >::b_not(), sc_dt::sc_proxy< sc_bv_base >::check_bounds(), gem5::ComputeUnit::deleteFromPipeMap(), gem5::ScheduleStage::deleteFromSch(), gem5::ComputeUnit::dispWorkgroup(), gem5::LocalMemPipeline::exec(), gem5::ScalarMemPipeline::exec(), gem5::GlobalMemPipeline::exec(), gem5::ComputeUnit::fillKernelState(), gem5::StaticRegisterManagerPolicy::freeRegisters(), gem5::RegisterManager::freeRegisters(), sc_dt::sc_subref_r< X >::get_cword(), sc_dt::sc_subref_r< X >::get_word(), gem5::PowerModel::getDynamicPower(), gem5::branch_prediction::MultiperspectivePerceptron::GHISTPATH::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::SGHISTPATH::getHash(), gem5::PowerModel::getStaticPower(), gem5::branch_prediction::StatisticalCorrector::gPredict(), gem5::branch_prediction::StatisticalCorrector::gUpdate(), gem5::ComputeUnit::DataPort::handleResponse(), gem5::branch_prediction::StatisticalCorrector::initGEHLTable(), gem5::ComputeUnit::insertInPipeMap(), sc_dt::sc_bv_base::is_01(), gem5::StaticRegisterManagerPolicy::mapSgpr(), gem5::RegisterManager::mapSgpr(), gem5::StaticRegisterManagerPolicy::mapVgpr(), gem5::RegisterManager::mapVgpr(), gem5::ScoreboardCheckStage::mapWaveToExeUnit(), gem5::ComputeUnit::mapWaveToScalarAlu(), gem5::ComputeUnit::mapWaveToScalarAluGlobalIdx(), gem5::VectorRegisterFile::operandsReady(), gem5::ScalarRegisterFile::operandsReady(), sc_dt::sc_lv_base::operator=(), sc_gem5::VcdTraceScope::output(), sc_gem5::VcdTraceValFinite< T >::output(), sc_gem5::VcdTraceValFxnum< T >::output(), sc_gem5::VcdTraceValInt< T >::output(), gem5::ScoreboardCheckStage::ready(), gem5::ComputeUnit::DTLBPort::recvTimingResp(), gem5::ComputeUnit::ScalarDTLBPort::recvTimingResp(), gem5::FutexMap::requeue(), gem5::ArmISA::MMU::s1PermBits64(), gem5::ArmISA::MMU::s2PermBits64(), SC_MODULE(), sc_dt::sc_proxy< sc_bv_base >::scan(), sc_gem5::ScEvent::schedule(), sc_dt::sc_bitref< X >::set_bit(), sc_dt::sc_concref_r< X, Y >::set_cword(), sc_dt::sc_concref_r< X, Y >::set_word(), gem5::Packet::setUintX(), gem5::ComputeUnit::startWavefront(), sc_dt::sc_proxy< sc_bv_base >::to_uint64(), sc_dt::vec_mul_small_on(), sc_dt::vec_sub_on2(), gem5::VectorRegisterFile::waveExecuteInst(), sc_gem5::ScEvent::when(), gem5::MemBackdoor::writeable(), and gem5::VGic::writeVCpu().
Bitfield<4> gem5::VegaISA::x |
Definition at line 61 of file pagetable.hh.
Referenced by sc_dt::and_on_help(), sc_dt::sc_proxy< sc_bv_base >::assign_(), sc_dt::assign_v_(), sc_dt::b_and_assign_(), sc_dt::b_or_assign_(), sc_dt::b_xor_assign_(), gem5::prefetch::BOP::bestOffsetLearning(), sc_dt::sc_proxy< sc_bv_base >::check_bounds(), gem5::o3::LSQUnit::checkSnoop(), sc_dt::sc_lv_base::clean_tail(), sc_dt::scfx_rep::clear(), gem5::o3::LSQUnit::commitStores(), gem5::CopyEngine::CopyEngine(), gem5::SparcISA::ISA::copyRegsFrom(), gem5::SparcISA::TLB::demapAll(), gem5::SparcISA::TLB::demapContext(), gem5::SparcISA::TLB::dumpAll(), sc_dt::extend_sign_w_(), gem5::IGbE::DescCache< igbreg::RxDesc >::fetchComplete(), gem5::Float16::Float16(), gem5::floorLog2(), gem5::ArmISA::TLB::flush(), gem5::SparcISA::TLB::flushAll(), gem5::ArmISA::TLB::flushAll(), gem5::ArmISA::fp16_add(), gem5::ArmISA::fp16_div(), gem5::ArmISA::fp16_mul(), gem5::ArmISA::fp16_muladd(), gem5::ArmISA::fp16_sqrt(), gem5::ArmISA::fp16_unpack(), gem5::ArmISA::fp32_add(), gem5::ArmISA::fp32_div(), gem5::ArmISA::fp32_mul(), gem5::ArmISA::fp32_muladd(), gem5::ArmISA::fp32_sqrt(), gem5::ArmISA::fp32_unpack(), gem5::ArmISA::fp64_add(), gem5::ArmISA::fp64_div(), gem5::ArmISA::fp64_mul(), gem5::ArmISA::fp64_muladd(), gem5::ArmISA::fp64_sqrt(), gem5::ArmISA::fp64_unpack(), gem5::ArmISA::fplibCompareEQ(), gem5::ArmISA::fplibCompareGE(), gem5::ArmISA::fplibCompareGT(), gem5::ArmISA::fplibCompareUN(), gem5::ArmISA::fplibMax(), gem5::ArmISA::fplibMin(), gem5::ArmISA::fplibRoundInt(), gem5::ArmISA::FpOp::fpSqrt(), gem5::ArmISA::FPToFixed_16(), gem5::ArmISA::FPToFixed_32(), gem5::ArmISA::FPToFixed_64(), sc_dt::scfx_rep::get_bit(), gem5::getFpRound(), gem5::branch_prediction::MultiperspectivePerceptron::ACYCLIC::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::MODHIST::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::RECENCY::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::PATH::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::LOCAL::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::MODPATH::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::GHISTPATH::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::GHISTMODPATH::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::BLURRYPATH::getHash(), gem5::branch_prediction::MultiperspectivePerceptron::SGHISTPATH::getHash(), gem5::Gicv2m::Gicv2m(), gem5::branch_prediction::MultiperspectivePerceptron::GHIST::hash(), gem5::stl_helpers::hash_impl::hash_refine(), gem5::branch_prediction::MultiperspectivePerceptron::MPPBranchInfo::hashPC(), QTIsaac< ALPHA >::ind(), gem5::SparcISA::TLB::insert(), gem5::branch_prediction::MultiperspectivePerceptron::insert(), gem5::prefetch::BOP::insertIntoDelayQueue(), gem5::Iob::Iob(), QTIsaac< ALPHA >::isaac(), sc_dt::sc_proxy< sc_bv_base >::lrotate(), sc_dt::lrotate(), gem5::ArmISA::lsl16(), gem5::ArmISA::lsl32(), gem5::ArmISA::lsl64(), gem5::ArmISA::TLB::match(), gem5::ArmISA::modeConv(), gem5::ps2::TouchKit::mouseAt(), sc_dt::scfx_rep::o_extend(), sc_dt::scfx_rep::o_set_high(), sc_dt::scfx_rep::o_zero_left(), sc_dt::scfx_rep::o_zero_right(), sc_dt::operator!=(), sc_dt::sc_proxy< sc_bv_base >::operator>>(), gem5::FrameBuffer::pixel(), gem5::SparcISA::SparcStaticInst::printRegArray(), gem5::ArmISA::TLB::printTlb(), sc_dt::scfx_rep::q_clear(), sc_dt::scfx_rep::q_incr(), gem5::RangeAddrMapper::RangeAddrMapper(), gem5::GicV2::readCpu(), gem5::SparcISA::ISA::readFSReg(), gem5::IGbE::DescCache< igbreg::RxDesc >::reset(), gem5::ArmISA::HTMCheckpoint::restore(), QTIsaac< ALPHA >::rngstep(), gem5::ArmISA::Crypto::ror(), sc_dt::scfx_rep::round(), sc_dt::sc_proxy< sc_bv_base >::rrotate(), gem5::ArmISA::MMU::s1PermBits64(), gem5::ArmISA::MMU::s2PermBits64(), gem5::ArmISA::HTMCheckpoint::save(), SC_MODULE(), sc_dt::scfx_rep::scfx_rep(), gem5::Shader::ScheduleAdd(), gem5::VncServer::sendFrameBufferUpdate(), gem5::Iob::serialize(), gem5::CopyEngine::serialize(), gem5::SparcISA::TLB::serialize(), gem5::IGbE::DescCache< igbreg::RxDesc >::serialize(), gem5::Pl111::serialize(), sc_dt::scfx_rep::set(), gem5::VncServer::setEncodings(), gem5::GicV2::softInt(), gem5::swap_byte(), gem5::swap_byte16(), gem5::swap_byte32(), gem5::swap_byte64(), gem5::System::System(), TEST(), gem5::SparcISA::TLB::TLB(), sc_dt::scfx_rep::to_string(), gem5::SparcISA::TLB::translateFunctional(), gem5::Iob::unserialize(), gem5::CopyEngine::unserialize(), gem5::SparcISA::TLB::unserialize(), gem5::IGbE::DescCache< igbreg::RxDesc >::unserialize(), gem5::Pl111::unserialize(), gem5::memory::PhysicalMemory::unserializeStore(), gem5::GicV2::updateIntState(), gem5::ArmV8KvmCPU::updateKvmState(), gem5::ArmV8KvmCPU::updateThreadContext(), sc_dt::vec_mul_small_on(), gem5::VGic::VGic(), gem5::IGbE::DescCache< igbreg::RxDesc >::wbComplete(), gem5::BmpWriter::write(), gem5::PngWriter::write(), gem5::IGbE::DescCache< igbreg::RxDesc >::writeback1(), sc_dt::sc_proxy< sc_bv_base >::xor_reduce(), gem5::CopyEngine::~CopyEngine(), gem5::GicV2::~GicV2(), mm::~mm(), and gem5::VGic::~VGic().
Bitfield<3> gem5::VegaISA::z |
Definition at line 62 of file pagetable.hh.
Referenced by sc_dt::sc_abs(), SC_MODULE(), gem5::branch_prediction::MultiperspectivePerceptron::update(), and gem5::branch_prediction::MultiperspectivePerceptronTAGE::updateHistories().