gem5  v22.1.0.0
Public Member Functions | Protected Attributes | Private Member Functions | Private Attributes | List of all members
gem5::VegaISA::Inst_VOP3A Class Reference

#include <op_encodings.hh>

Inheritance diagram for gem5::VegaISA::Inst_VOP3A:
gem5::VegaISA::VEGAGPUStaticInst gem5::GPUStaticInst gem5::VegaISA::Inst_VOP3__V_ADD3_U32 gem5::VegaISA::Inst_VOP3__V_ADD_F16 gem5::VegaISA::Inst_VOP3__V_ADD_F32 gem5::VegaISA::Inst_VOP3__V_ADD_F64 gem5::VegaISA::Inst_VOP3__V_ADD_LSHL_U32 gem5::VegaISA::Inst_VOP3__V_ADD_U16 gem5::VegaISA::Inst_VOP3__V_ADD_U32 gem5::VegaISA::Inst_VOP3__V_ALIGNBIT_B32 gem5::VegaISA::Inst_VOP3__V_ALIGNBYTE_B32 gem5::VegaISA::Inst_VOP3__V_AND_B32 gem5::VegaISA::Inst_VOP3__V_AND_OR_B32 gem5::VegaISA::Inst_VOP3__V_ASHRREV_I16 gem5::VegaISA::Inst_VOP3__V_ASHRREV_I32 gem5::VegaISA::Inst_VOP3__V_ASHRREV_I64 gem5::VegaISA::Inst_VOP3__V_BCNT_U32_B32 gem5::VegaISA::Inst_VOP3__V_BFE_I32 gem5::VegaISA::Inst_VOP3__V_BFE_U32 gem5::VegaISA::Inst_VOP3__V_BFI_B32 gem5::VegaISA::Inst_VOP3__V_BFM_B32 gem5::VegaISA::Inst_VOP3__V_BFREV_B32 gem5::VegaISA::Inst_VOP3__V_CEIL_F16 gem5::VegaISA::Inst_VOP3__V_CEIL_F32 gem5::VegaISA::Inst_VOP3__V_CEIL_F64 gem5::VegaISA::Inst_VOP3__V_CLREXCP gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_F_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_F_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_F_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_F_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_F_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_F_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_F_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_F_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_F_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_O_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_O_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_O_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F64 gem5::VegaISA::Inst_VOP3__V_CMPX_T_I16 gem5::VegaISA::Inst_VOP3__V_CMPX_T_I32 gem5::VegaISA::Inst_VOP3__V_CMPX_T_I64 gem5::VegaISA::Inst_VOP3__V_CMPX_T_U16 gem5::VegaISA::Inst_VOP3__V_CMPX_T_U32 gem5::VegaISA::Inst_VOP3__V_CMPX_T_U64 gem5::VegaISA::Inst_VOP3__V_CMPX_U_F16 gem5::VegaISA::Inst_VOP3__V_CMPX_U_F32 gem5::VegaISA::Inst_VOP3__V_CMPX_U_F64 gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F16 gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F32 gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F64 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F16 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F32 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F64 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I16 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I32 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I64 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U16 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U32 gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U64 gem5::VegaISA::Inst_VOP3__V_CMP_F_F16 gem5::VegaISA::Inst_VOP3__V_CMP_F_F32 gem5::VegaISA::Inst_VOP3__V_CMP_F_F64 gem5::VegaISA::Inst_VOP3__V_CMP_F_I16 gem5::VegaISA::Inst_VOP3__V_CMP_F_I32 gem5::VegaISA::Inst_VOP3__V_CMP_F_I64 gem5::VegaISA::Inst_VOP3__V_CMP_F_U16 gem5::VegaISA::Inst_VOP3__V_CMP_F_U32 gem5::VegaISA::Inst_VOP3__V_CMP_F_U64 gem5::VegaISA::Inst_VOP3__V_CMP_GE_F16 gem5::VegaISA::Inst_VOP3__V_CMP_GE_F32 gem5::VegaISA::Inst_VOP3__V_CMP_GE_F64 gem5::VegaISA::Inst_VOP3__V_CMP_GE_I16 gem5::VegaISA::Inst_VOP3__V_CMP_GE_I32 gem5::VegaISA::Inst_VOP3__V_CMP_GE_I64 gem5::VegaISA::Inst_VOP3__V_CMP_GE_U16 gem5::VegaISA::Inst_VOP3__V_CMP_GE_U32 gem5::VegaISA::Inst_VOP3__V_CMP_GE_U64 gem5::VegaISA::Inst_VOP3__V_CMP_GT_F16 gem5::VegaISA::Inst_VOP3__V_CMP_GT_F32 gem5::VegaISA::Inst_VOP3__V_CMP_GT_F64 gem5::VegaISA::Inst_VOP3__V_CMP_GT_I16 gem5::VegaISA::Inst_VOP3__V_CMP_GT_I32 gem5::VegaISA::Inst_VOP3__V_CMP_GT_I64 gem5::VegaISA::Inst_VOP3__V_CMP_GT_U16 gem5::VegaISA::Inst_VOP3__V_CMP_GT_U32 gem5::VegaISA::Inst_VOP3__V_CMP_GT_U64 gem5::VegaISA::Inst_VOP3__V_CMP_LE_F16 gem5::VegaISA::Inst_VOP3__V_CMP_LE_F32 gem5::VegaISA::Inst_VOP3__V_CMP_LE_F64 gem5::VegaISA::Inst_VOP3__V_CMP_LE_I16 gem5::VegaISA::Inst_VOP3__V_CMP_LE_I32 gem5::VegaISA::Inst_VOP3__V_CMP_LE_I64 gem5::VegaISA::Inst_VOP3__V_CMP_LE_U16 gem5::VegaISA::Inst_VOP3__V_CMP_LE_U32 gem5::VegaISA::Inst_VOP3__V_CMP_LE_U64 gem5::VegaISA::Inst_VOP3__V_CMP_LG_F16 gem5::VegaISA::Inst_VOP3__V_CMP_LG_F32 gem5::VegaISA::Inst_VOP3__V_CMP_LG_F64 gem5::VegaISA::Inst_VOP3__V_CMP_LT_F16 gem5::VegaISA::Inst_VOP3__V_CMP_LT_F32 gem5::VegaISA::Inst_VOP3__V_CMP_LT_F64 gem5::VegaISA::Inst_VOP3__V_CMP_LT_I16 gem5::VegaISA::Inst_VOP3__V_CMP_LT_I32 gem5::VegaISA::Inst_VOP3__V_CMP_LT_I64 gem5::VegaISA::Inst_VOP3__V_CMP_LT_U16 gem5::VegaISA::Inst_VOP3__V_CMP_LT_U32 gem5::VegaISA::Inst_VOP3__V_CMP_LT_U64 gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F16 gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F32 gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F64 gem5::VegaISA::Inst_VOP3__V_CMP_NE_I16 gem5::VegaISA::Inst_VOP3__V_CMP_NE_I32 gem5::VegaISA::Inst_VOP3__V_CMP_NE_I64 gem5::VegaISA::Inst_VOP3__V_CMP_NE_U16 gem5::VegaISA::Inst_VOP3__V_CMP_NE_U32 gem5::VegaISA::Inst_VOP3__V_CMP_NE_U64 gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F16 gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F32 gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F64 gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F16 gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F32 gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F64 gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F16 gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F32 gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F64 gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F16 gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F32 gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F64 gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F16 gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F32 gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F64 gem5::VegaISA::Inst_VOP3__V_CMP_O_F16 gem5::VegaISA::Inst_VOP3__V_CMP_O_F32 gem5::VegaISA::Inst_VOP3__V_CMP_O_F64 gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F16 gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F32 gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F64 gem5::VegaISA::Inst_VOP3__V_CMP_T_I16 gem5::VegaISA::Inst_VOP3__V_CMP_T_I32 gem5::VegaISA::Inst_VOP3__V_CMP_T_I64 gem5::VegaISA::Inst_VOP3__V_CMP_T_U16 gem5::VegaISA::Inst_VOP3__V_CMP_T_U32 gem5::VegaISA::Inst_VOP3__V_CMP_T_U64 gem5::VegaISA::Inst_VOP3__V_CMP_U_F16 gem5::VegaISA::Inst_VOP3__V_CMP_U_F32 gem5::VegaISA::Inst_VOP3__V_CMP_U_F64 gem5::VegaISA::Inst_VOP3__V_CNDMASK_B32 gem5::VegaISA::Inst_VOP3__V_COS_F16 gem5::VegaISA::Inst_VOP3__V_COS_F32 gem5::VegaISA::Inst_VOP3__V_CUBEID_F32 gem5::VegaISA::Inst_VOP3__V_CUBEMA_F32 gem5::VegaISA::Inst_VOP3__V_CUBESC_F32 gem5::VegaISA::Inst_VOP3__V_CUBETC_F32 gem5::VegaISA::Inst_VOP3__V_CVT_F16_F32 gem5::VegaISA::Inst_VOP3__V_CVT_F16_I16 gem5::VegaISA::Inst_VOP3__V_CVT_F16_U16 gem5::VegaISA::Inst_VOP3__V_CVT_F32_F16 gem5::VegaISA::Inst_VOP3__V_CVT_F32_F64 gem5::VegaISA::Inst_VOP3__V_CVT_F32_I32 gem5::VegaISA::Inst_VOP3__V_CVT_F32_U32 gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE0 gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE1 gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE2 gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE3 gem5::VegaISA::Inst_VOP3__V_CVT_F64_F32 gem5::VegaISA::Inst_VOP3__V_CVT_F64_I32 gem5::VegaISA::Inst_VOP3__V_CVT_F64_U32 gem5::VegaISA::Inst_VOP3__V_CVT_FLR_I32_F32 gem5::VegaISA::Inst_VOP3__V_CVT_I16_F16 gem5::VegaISA::Inst_VOP3__V_CVT_I32_F32 gem5::VegaISA::Inst_VOP3__V_CVT_I32_F64 gem5::VegaISA::Inst_VOP3__V_CVT_OFF_F32_I4 gem5::VegaISA::Inst_VOP3__V_CVT_PKACCUM_U8_F32 gem5::VegaISA::Inst_VOP3__V_CVT_PKNORM_I16_F32 gem5::VegaISA::Inst_VOP3__V_CVT_PKNORM_U16_F32 gem5::VegaISA::Inst_VOP3__V_CVT_PKRTZ_F16_F32 gem5::VegaISA::Inst_VOP3__V_CVT_PK_I16_I32 gem5::VegaISA::Inst_VOP3__V_CVT_PK_U16_U32 gem5::VegaISA::Inst_VOP3__V_CVT_PK_U8_F32 gem5::VegaISA::Inst_VOP3__V_CVT_RPI_I32_F32 gem5::VegaISA::Inst_VOP3__V_CVT_U16_F16 gem5::VegaISA::Inst_VOP3__V_CVT_U32_F32 gem5::VegaISA::Inst_VOP3__V_CVT_U32_F64 gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F16 gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F32 gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F64 gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F32 gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F64 gem5::VegaISA::Inst_VOP3__V_EXP_F16 gem5::VegaISA::Inst_VOP3__V_EXP_F32 gem5::VegaISA::Inst_VOP3__V_EXP_LEGACY_F32 gem5::VegaISA::Inst_VOP3__V_FFBH_I32 gem5::VegaISA::Inst_VOP3__V_FFBH_U32 gem5::VegaISA::Inst_VOP3__V_FFBL_B32 gem5::VegaISA::Inst_VOP3__V_FLOOR_F16 gem5::VegaISA::Inst_VOP3__V_FLOOR_F32 gem5::VegaISA::Inst_VOP3__V_FLOOR_F64 gem5::VegaISA::Inst_VOP3__V_FMA_F16 gem5::VegaISA::Inst_VOP3__V_FMA_F32 gem5::VegaISA::Inst_VOP3__V_FMA_F64 gem5::VegaISA::Inst_VOP3__V_FRACT_F16 gem5::VegaISA::Inst_VOP3__V_FRACT_F32 gem5::VegaISA::Inst_VOP3__V_FRACT_F64 gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I16_F16 gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F32 gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F64 gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F16 gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F32 gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F64 gem5::VegaISA::Inst_VOP3__V_INTERP_MOV_F32 gem5::VegaISA::Inst_VOP3__V_INTERP_P1LL_F16 gem5::VegaISA::Inst_VOP3__V_INTERP_P1LV_F16 gem5::VegaISA::Inst_VOP3__V_INTERP_P1_F32 gem5::VegaISA::Inst_VOP3__V_INTERP_P2_F16 gem5::VegaISA::Inst_VOP3__V_INTERP_P2_F32 gem5::VegaISA::Inst_VOP3__V_LDEXP_F16 gem5::VegaISA::Inst_VOP3__V_LDEXP_F32 gem5::VegaISA::Inst_VOP3__V_LDEXP_F64 gem5::VegaISA::Inst_VOP3__V_LERP_U8 gem5::VegaISA::Inst_VOP3__V_LOG_F16 gem5::VegaISA::Inst_VOP3__V_LOG_F32 gem5::VegaISA::Inst_VOP3__V_LOG_LEGACY_F32 gem5::VegaISA::Inst_VOP3__V_LSHLREV_B16 gem5::VegaISA::Inst_VOP3__V_LSHLREV_B32 gem5::VegaISA::Inst_VOP3__V_LSHLREV_B64 gem5::VegaISA::Inst_VOP3__V_LSHL_ADD_U32 gem5::VegaISA::Inst_VOP3__V_LSHL_OR_B32 gem5::VegaISA::Inst_VOP3__V_LSHRREV_B16 gem5::VegaISA::Inst_VOP3__V_LSHRREV_B32 gem5::VegaISA::Inst_VOP3__V_LSHRREV_B64 gem5::VegaISA::Inst_VOP3__V_MAC_F16 gem5::VegaISA::Inst_VOP3__V_MAC_F32 gem5::VegaISA::Inst_VOP3__V_MAD_F16 gem5::VegaISA::Inst_VOP3__V_MAD_F32 gem5::VegaISA::Inst_VOP3__V_MAD_I16 gem5::VegaISA::Inst_VOP3__V_MAD_I32_I24 gem5::VegaISA::Inst_VOP3__V_MAD_LEGACY_F32 gem5::VegaISA::Inst_VOP3__V_MAD_U16 gem5::VegaISA::Inst_VOP3__V_MAD_U32_U24 gem5::VegaISA::Inst_VOP3__V_MAX3_F32 gem5::VegaISA::Inst_VOP3__V_MAX3_I32 gem5::VegaISA::Inst_VOP3__V_MAX3_U32 gem5::VegaISA::Inst_VOP3__V_MAX_F16 gem5::VegaISA::Inst_VOP3__V_MAX_F32 gem5::VegaISA::Inst_VOP3__V_MAX_F64 gem5::VegaISA::Inst_VOP3__V_MAX_I16 gem5::VegaISA::Inst_VOP3__V_MAX_I32 gem5::VegaISA::Inst_VOP3__V_MAX_U16 gem5::VegaISA::Inst_VOP3__V_MAX_U32 gem5::VegaISA::Inst_VOP3__V_MBCNT_HI_U32_B32 gem5::VegaISA::Inst_VOP3__V_MBCNT_LO_U32_B32 gem5::VegaISA::Inst_VOP3__V_MED3_F32 gem5::VegaISA::Inst_VOP3__V_MED3_I32 gem5::VegaISA::Inst_VOP3__V_MED3_U32 gem5::VegaISA::Inst_VOP3__V_MIN3_F32 gem5::VegaISA::Inst_VOP3__V_MIN3_I32 gem5::VegaISA::Inst_VOP3__V_MIN3_U32 gem5::VegaISA::Inst_VOP3__V_MIN_F16 gem5::VegaISA::Inst_VOP3__V_MIN_F32 gem5::VegaISA::Inst_VOP3__V_MIN_F64 gem5::VegaISA::Inst_VOP3__V_MIN_I16 gem5::VegaISA::Inst_VOP3__V_MIN_I32 gem5::VegaISA::Inst_VOP3__V_MIN_U16 gem5::VegaISA::Inst_VOP3__V_MIN_U32 gem5::VegaISA::Inst_VOP3__V_MOV_B32 gem5::VegaISA::Inst_VOP3__V_MOV_FED_B32 gem5::VegaISA::Inst_VOP3__V_MQSAD_PK_U16_U8 gem5::VegaISA::Inst_VOP3__V_MQSAD_U32_U8 gem5::VegaISA::Inst_VOP3__V_MSAD_U8 gem5::VegaISA::Inst_VOP3__V_MUL_F16 gem5::VegaISA::Inst_VOP3__V_MUL_F32 gem5::VegaISA::Inst_VOP3__V_MUL_F64 gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32 gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32_I24 gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32 gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32_U24 gem5::VegaISA::Inst_VOP3__V_MUL_I32_I24 gem5::VegaISA::Inst_VOP3__V_MUL_LEGACY_F32 gem5::VegaISA::Inst_VOP3__V_MUL_LO_U16 gem5::VegaISA::Inst_VOP3__V_MUL_LO_U32 gem5::VegaISA::Inst_VOP3__V_MUL_U32_U24 gem5::VegaISA::Inst_VOP3__V_NOP gem5::VegaISA::Inst_VOP3__V_NOT_B32 gem5::VegaISA::Inst_VOP3__V_OR3_B32 gem5::VegaISA::Inst_VOP3__V_OR_B32 gem5::VegaISA::Inst_VOP3__V_PERM_B32 gem5::VegaISA::Inst_VOP3__V_QSAD_PK_U16_U8 gem5::VegaISA::Inst_VOP3__V_RCP_F16 gem5::VegaISA::Inst_VOP3__V_RCP_F32 gem5::VegaISA::Inst_VOP3__V_RCP_F64 gem5::VegaISA::Inst_VOP3__V_RCP_IFLAG_F32 gem5::VegaISA::Inst_VOP3__V_READLANE_B32 gem5::VegaISA::Inst_VOP3__V_RNDNE_F16 gem5::VegaISA::Inst_VOP3__V_RNDNE_F32 gem5::VegaISA::Inst_VOP3__V_RNDNE_F64 gem5::VegaISA::Inst_VOP3__V_RSQ_F16 gem5::VegaISA::Inst_VOP3__V_RSQ_F32 gem5::VegaISA::Inst_VOP3__V_RSQ_F64 gem5::VegaISA::Inst_VOP3__V_SAD_HI_U8 gem5::VegaISA::Inst_VOP3__V_SAD_U16 gem5::VegaISA::Inst_VOP3__V_SAD_U32 gem5::VegaISA::Inst_VOP3__V_SAD_U8 gem5::VegaISA::Inst_VOP3__V_SIN_F16 gem5::VegaISA::Inst_VOP3__V_SIN_F32 gem5::VegaISA::Inst_VOP3__V_SQRT_F16 gem5::VegaISA::Inst_VOP3__V_SQRT_F32 gem5::VegaISA::Inst_VOP3__V_SQRT_F64 gem5::VegaISA::Inst_VOP3__V_SUBREV_F16 gem5::VegaISA::Inst_VOP3__V_SUBREV_F32 gem5::VegaISA::Inst_VOP3__V_SUBREV_U16 gem5::VegaISA::Inst_VOP3__V_SUBREV_U32 gem5::VegaISA::Inst_VOP3__V_SUB_F16 gem5::VegaISA::Inst_VOP3__V_SUB_F32 gem5::VegaISA::Inst_VOP3__V_SUB_U16 gem5::VegaISA::Inst_VOP3__V_SUB_U32 gem5::VegaISA::Inst_VOP3__V_TRIG_PREOP_F64 gem5::VegaISA::Inst_VOP3__V_TRUNC_F16 gem5::VegaISA::Inst_VOP3__V_TRUNC_F32 gem5::VegaISA::Inst_VOP3__V_TRUNC_F64 gem5::VegaISA::Inst_VOP3__V_WRITELANE_B32 gem5::VegaISA::Inst_VOP3__V_XAD_U32 gem5::VegaISA::Inst_VOP3__V_XOR_B32

Public Member Functions

 Inst_VOP3A (InFmt_VOP3A *, const std::string &opcode, bool sgpr_dst)
 
 ~Inst_VOP3A ()
 
int instSize () const override
 
void generateDisassembly () override
 
void initOperandInfo () override
 
- Public Member Functions inherited from gem5::VegaISA::VEGAGPUStaticInst
 VEGAGPUStaticInst (const std::string &opcode)
 
 ~VEGAGPUStaticInst ()
 
bool isFlatScratchRegister (int opIdx) override
 
bool isExecMaskRegister (int opIdx) override
 
int getOperandSize (int opIdx) override
 
int coalescerTokenCount () const override
 Return the number of tokens needed by the coalescer. More...
 
ScalarRegU32 srcLiteral () const override
 
- Public Member Functions inherited from gem5::GPUStaticInst
 GPUStaticInst (const std::string &opcode)
 
virtual ~GPUStaticInst ()
 
void instAddr (int inst_addr)
 
int instAddr () const
 
int nextInstAddr () const
 
void instNum (int num)
 
int instNum ()
 
void ipdInstNum (int num)
 
int ipdInstNum () const
 
void initDynOperandInfo (Wavefront *wf, ComputeUnit *cu)
 
virtual void execute (GPUDynInstPtr gpuDynInst)=0
 
const std::string & disassemble ()
 
virtual int getNumOperands ()=0
 
virtual int numDstRegOperands ()=0
 
virtual int numSrcRegOperands ()=0
 
int numSrcVecOperands ()
 
int numDstVecOperands ()
 
int numSrcVecDWords ()
 
int numDstVecDWords ()
 
int numSrcScalarOperands ()
 
int numDstScalarOperands ()
 
int numSrcScalarDWords ()
 
int numDstScalarDWords ()
 
int maxOperandSize ()
 
bool isALU () const
 
bool isBranch () const
 
bool isCondBranch () const
 
bool isNop () const
 
bool isReturn () const
 
bool isEndOfKernel () const
 
bool isKernelLaunch () const
 
bool isSDWAInst () const
 
bool isDPPInst () const
 
bool isUnconditionalJump () const
 
bool isSpecialOp () const
 
bool isWaitcnt () const
 
bool isSleep () const
 
bool isBarrier () const
 
bool isMemSync () const
 
bool isMemRef () const
 
bool isFlat () const
 
bool isFlatGlobal () const
 
bool isLoad () const
 
bool isStore () const
 
bool isAtomic () const
 
bool isAtomicNoRet () const
 
bool isAtomicRet () const
 
bool isScalar () const
 
bool readsSCC () const
 
bool writesSCC () const
 
bool readsVCC () const
 
bool writesVCC () const
 
bool readsEXEC () const
 
bool writesEXEC () const
 
bool readsMode () const
 
bool writesMode () const
 
bool ignoreExec () const
 
bool isAtomicAnd () const
 
bool isAtomicOr () const
 
bool isAtomicXor () const
 
bool isAtomicCAS () const
 
bool isAtomicExch () const
 
bool isAtomicAdd () const
 
bool isAtomicSub () const
 
bool isAtomicInc () const
 
bool isAtomicDec () const
 
bool isAtomicMax () const
 
bool isAtomicMin () const
 
bool isArgLoad () const
 
bool isGlobalMem () const
 
bool isLocalMem () const
 
bool isArgSeg () const
 
bool isGlobalSeg () const
 
bool isGroupSeg () const
 
bool isKernArgSeg () const
 
bool isPrivateSeg () const
 
bool isReadOnlySeg () const
 
bool isSpillSeg () const
 
bool isGloballyCoherent () const
 Coherence domain of a memory instruction. More...
 
bool isSystemCoherent () const
 
bool isF16 () const
 
bool isF32 () const
 
bool isF64 () const
 
bool isFMA () const
 
bool isMAC () const
 
bool isMAD () const
 
virtual void initiateAcc (GPUDynInstPtr gpuDynInst)
 
virtual void completeAcc (GPUDynInstPtr gpuDynInst)
 
virtual uint32_t getTargetPc ()
 
void setFlag (Flags flag)
 
const std::string & opcode () const
 
const std::vector< OperandInfo > & srcOperands () const
 
const std::vector< OperandInfo > & dstOperands () const
 
const std::vector< OperandInfo > & srcVecRegOperands () const
 
const std::vector< OperandInfo > & dstVecRegOperands () const
 
const std::vector< OperandInfo > & srcScalarRegOperands () const
 
const std::vector< OperandInfo > & dstScalarRegOperands () const
 

Protected Attributes

InFmt_VOP3A instData
 
InFmt_VOP3_1 extData
 
- Protected Attributes inherited from gem5::VegaISA::VEGAGPUStaticInst
ScalarRegU32 _srcLiteral
 if the instruction has a src literal - an immediate value that is part of the instruction stream - we store that here More...
 
- Protected Attributes inherited from gem5::GPUStaticInst
const std::string _opcode
 
std::string disassembly
 
int _instNum
 
int _instAddr
 
std::vector< OperandInfosrcOps
 
std::vector< OperandInfodstOps
 

Private Member Functions

bool hasSecondDword (InFmt_VOP3A *)
 

Private Attributes

const bool sgprDst
 the v_cmp and readlane instructions in the VOP3 encoding are unique because they are the only instructions that use the VDST field to specify a scalar register destination. More...
 

Additional Inherited Members

- Public Types inherited from gem5::GPUStaticInst
enum  OpType { SRC_VEC , SRC_SCALAR , DST_VEC , DST_SCALAR }
 
typedef int(RegisterManager::* MapRegFn) (Wavefront *, int)
 
- Public Attributes inherited from gem5::GPUStaticInst
enums::StorageClassType executed_as
 
- Static Public Attributes inherited from gem5::GPUStaticInst
static uint64_t dynamic_id_count
 
- Protected Member Functions inherited from gem5::VegaISA::VEGAGPUStaticInst
void panicUnimplemented () const
 

Detailed Description

Definition at line 336 of file op_encodings.hh.

Constructor & Destructor Documentation

◆ Inst_VOP3A()

gem5::VegaISA::Inst_VOP3A::Inst_VOP3A ( InFmt_VOP3A iFmt,
const std::string &  opcode,
bool  sgpr_dst 
)

Definition at line 956 of file op_encodings.cc.

References gem5::VegaISA::VEGAGPUStaticInst::_srcLiteral, extData, and instData.

◆ ~Inst_VOP3A()

gem5::VegaISA::Inst_VOP3A::~Inst_VOP3A ( )

Definition at line 967 of file op_encodings.cc.

Member Function Documentation

◆ generateDisassembly()

void gem5::VegaISA::Inst_VOP3A::generateDisassembly ( )
overridevirtual

◆ hasSecondDword()

bool gem5::VegaISA::Inst_VOP3A::hasSecondDword ( InFmt_VOP3A )
private

◆ initOperandInfo()

void gem5::VegaISA::Inst_VOP3A::initOperandInfo ( )
overridevirtual

◆ instSize()

int gem5::VegaISA::Inst_VOP3A::instSize ( ) const
overridevirtual

Implements gem5::GPUStaticInst.

Definition at line 1012 of file op_encodings.cc.

Member Data Documentation

◆ extData

InFmt_VOP3_1 gem5::VegaISA::Inst_VOP3A::extData
protected

Definition at line 351 of file op_encodings.hh.

Referenced by gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CNDMASK_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR3_B32::execute(), gem5::VegaISA::Inst_VOP3__V_XOR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I16::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MOV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_RPI_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_FLR_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE0::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE1::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE2::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE3::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_U32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_IFLAG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_COS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_NOT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_BFREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_U32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBL_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_I32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_BFI_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LERP_U8::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBIT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBYTE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_HI_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_PK_U8_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_XAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_LSHL_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I16::execute(), gem5::VegaISA::Inst_VOP3__V_PERM_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_READLANE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_WRITELANE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_BCNT_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_LO_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_HI_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I64::execute(), gem5::VegaISA::Inst_VOP3__V_BFM_B32::execute(), generateDisassembly(), initOperandInfo(), and Inst_VOP3A().

◆ instData

InFmt_VOP3A gem5::VegaISA::Inst_VOP3A::instData
protected

Definition at line 349 of file op_encodings.hh.

Referenced by gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CNDMASK_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR3_B32::execute(), gem5::VegaISA::Inst_VOP3__V_XOR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I16::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MOV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_RPI_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_FLR_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE0::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE1::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE2::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE3::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_U32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_IFLAG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_COS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_NOT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_BFREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_U32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBL_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_I32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_BFI_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LERP_U8::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBIT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBYTE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_HI_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_PK_U8_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_XAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_LSHL_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I16::execute(), gem5::VegaISA::Inst_VOP3__V_PERM_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_READLANE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_WRITELANE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_BCNT_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_LO_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_HI_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I64::execute(), gem5::VegaISA::Inst_VOP3__V_BFM_B32::execute(), generateDisassembly(), initOperandInfo(), and Inst_VOP3A().

◆ sgprDst

const bool gem5::VegaISA::Inst_VOP3A::sgprDst
private

the v_cmp and readlane instructions in the VOP3 encoding are unique because they are the only instructions that use the VDST field to specify a scalar register destination.

for VOP3::V_CMP insts VDST specifies the arbitrary SGPR pair used to write VCC. for V_READLANE VDST specifies the SGPR to return the value of the selected lane in the source VGPR from which we are reading.

Definition at line 365 of file op_encodings.hh.

Referenced by generateDisassembly(), and initOperandInfo().


The documentation for this class was generated from the following files:

Generated on Wed Dec 21 2022 10:25:02 for gem5 by doxygen 1.9.1