gem5  v22.1.0.0
Classes | Public Types | Public Member Functions | Public Attributes | Private Member Functions | Private Attributes | List of all members
gem5::Wavefront Class Reference

#include <wavefront.hh>

Inheritance diagram for gem5::Wavefront:
gem5::SimObject gem5::EventManager gem5::Serializable gem5::Drainable gem5::statistics::Group gem5::Named

Classes

struct  WavefrontStats
 

Public Types

enum  status_e {
  S_STOPPED , S_RETURNING , S_RUNNING , S_STALLED ,
  S_STALLED_SLEEP , S_WAITCNT , S_BARRIER
}
 
typedef WavefrontParams Params
 
- Public Types inherited from gem5::SimObject
typedef SimObjectParams Params
 

Public Member Functions

void freeResources ()
 
GPUDynInstPtr nextInstr ()
 
void setStatus (status_e newStatus)
 
status_e getStatus ()
 
void resizeRegFiles (int num_vregs, int num_sregs)
 
bool isGmInstruction (GPUDynInstPtr ii)
 
bool isLmInstruction (GPUDynInstPtr ii)
 
bool isOldestInstWaitcnt ()
 
bool isOldestInstSleep ()
 
bool isOldestInstGMem ()
 
bool isOldestInstLMem ()
 
bool isOldestInstPrivMem ()
 
bool isOldestInstFlatMem ()
 
bool isOldestInstVectorALU ()
 
bool isOldestInstScalarALU ()
 
bool isOldestInstScalarMem ()
 
bool isOldestInstBarrier ()
 
void computeActualWgSz (HSAQueueEntry *task)
 
void initRegState (HSAQueueEntry *task, int wgSizeInWorkItems)
 
 Wavefront (const Params &p)
 
 ~Wavefront ()
 
virtual void init ()
 init() is called after all C++ SimObjects have been created and all ports are connected. More...
 
void setParent (ComputeUnit *cu)
 
void validateRequestCounters ()
 
void start (uint64_t _wfDynId, uint64_t _base_ptr)
 
void exec ()
 
std::vector< int > reserveResources ()
 
bool stopFetch ()
 
Addr pc () const
 
void pc (Addr new_pc)
 
VectorMaskexecMask ()
 
bool execMask (int lane) const
 
void discardFetch ()
 
bool waitCntsSatisfied ()
 
void setWaitCnts (int vm_wait_cnt, int exp_wait_cnt, int lgkm_wait_cnt)
 
void clearWaitCnts ()
 
void incVMemInstsIssued ()
 
void incExpInstsIssued ()
 
void incLGKMInstsIssued ()
 
void decVMemInstsIssued ()
 
void decExpInstsIssued ()
 
void decLGKMInstsIssued ()
 
void freeRegisterFile ()
 Freeing VRF space. More...
 
bool sleepDone ()
 
void setSleepTime (int sleep_time)
 
TheGpuISA::GPUISA & gpuISA ()
 
void barrierId (int bar_id)
 
int barrierId () const
 
bool hasBarrier () const
 
void releaseBarrier ()
 
- Public Member Functions inherited from gem5::SimObject
const Paramsparams () const
 
 SimObject (const Params &p)
 
virtual ~SimObject ()
 
virtual void loadState (CheckpointIn &cp)
 loadState() is called on each SimObject when restoring from a checkpoint. More...
 
virtual void initState ()
 initState() is called on each SimObject when not restoring from a checkpoint. More...
 
virtual void regProbePoints ()
 Register probe points for this object. More...
 
virtual void regProbeListeners ()
 Register probe listeners for this object. More...
 
ProbeManagergetProbeManager ()
 Get the probe manager for this object. More...
 
virtual PortgetPort (const std::string &if_name, PortID idx=InvalidPortID)
 Get a port with a given name and index. More...
 
virtual void startup ()
 startup() is the final initialization call before simulation. More...
 
DrainState drain () override
 Provide a default implementation of the drain interface for objects that don't need draining. More...
 
virtual void memWriteback ()
 Write back dirty buffers to memory using functional writes. More...
 
virtual void memInvalidate ()
 Invalidate the contents of memory buffers. More...
 
void serialize (CheckpointOut &cp) const override
 Serialize an object. More...
 
void unserialize (CheckpointIn &cp) override
 Unserialize an object. More...
 
- Public Member Functions inherited from gem5::EventManager
EventQueueeventQueue () const
 
void schedule (Event &event, Tick when)
 
void deschedule (Event &event)
 
void reschedule (Event &event, Tick when, bool always=false)
 
void schedule (Event *event, Tick when)
 
void deschedule (Event *event)
 
void reschedule (Event *event, Tick when, bool always=false)
 
void wakeupEventQueue (Tick when=(Tick) -1)
 This function is not needed by the usual gem5 event loop but may be necessary in derived EventQueues which host gem5 on other schedulers. More...
 
void setCurTick (Tick newVal)
 
 EventManager (EventManager &em)
 Event manger manages events in the event queue. More...
 
 EventManager (EventManager *em)
 
 EventManager (EventQueue *eq)
 
- Public Member Functions inherited from gem5::Serializable
 Serializable ()
 
virtual ~Serializable ()
 
void serializeSection (CheckpointOut &cp, const char *name) const
 Serialize an object into a new section. More...
 
void serializeSection (CheckpointOut &cp, const std::string &name) const
 
void unserializeSection (CheckpointIn &cp, const char *name)
 Unserialize an a child object. More...
 
void unserializeSection (CheckpointIn &cp, const std::string &name)
 
- Public Member Functions inherited from gem5::Drainable
DrainState drainState () const
 Return the current drain state of an object. More...
 
virtual void notifyFork ()
 Notify a child process of a fork. More...
 
- Public Member Functions inherited from gem5::statistics::Group
 Group (Group *parent, const char *name=nullptr)
 Construct a new statistics group. More...
 
virtual ~Group ()
 
virtual void regStats ()
 Callback to set stat parameters. More...
 
virtual void resetStats ()
 Callback to reset stats. More...
 
virtual void preDumpStats ()
 Callback before stats are dumped. More...
 
void addStat (statistics::Info *info)
 Register a stat with this group. More...
 
const std::map< std::string, Group * > & getStatGroups () const
 Get all child groups associated with this object. More...
 
const std::vector< Info * > & getStats () const
 Get all stats associated with this object. More...
 
void addStatGroup (const char *name, Group *block)
 Add a stat block as a child of this block. More...
 
const InforesolveStat (std::string name) const
 Resolve a stat by its name within this group. More...
 
void mergeStatGroup (Group *block)
 Merge the contents (stats & children) of a block to this block. More...
 
 Group ()=delete
 
 Group (const Group &)=delete
 
Groupoperator= (const Group &)=delete
 
- Public Member Functions inherited from gem5::Named
 Named (const std::string &name_)
 
virtual ~Named ()=default
 
virtual std::string name () const
 

Public Attributes

const int wfSlotId
 
int kernId
 
const int simdId
 
int execUnitId
 
int flatLmUnitId
 
int flatGmUnitId
 
ComputeUnitcomputeUnit
 
int maxIbSize
 
std::deque< GPUDynInstPtrinstructionBuffer
 
bool pendingFetch
 
bool dropFetch
 
Tick lastNonIdleTick
 
int scalarAlu
 
int scalarAluGlobalIdx
 
int globalMem
 
int localMem
 
int scalarMem
 
uint32_t maxVgprs
 
uint32_t maxSgprs
 
std::vector< AddrlastAddr
 
std::vector< uint32_t > workItemId [3]
 
std::vector< uint32_t > workItemFlatId
 
uint32_t workGroupId [3]
 
uint32_t workGroupSz [3]
 
uint32_t gridSz [3]
 
uint32_t wgId
 
uint32_t wgSz
 
uint32_t actualWgSz [3]
 
uint32_t actualWgSzTotal
 
uint32_t wfId
 
uint32_t maxDynWaveId
 
uint32_t dispatchId
 
int outstandingReqs
 
int outstandingReqsWrGm
 
int outstandingReqsWrLm
 
int outstandingReqsRdGm
 
int outstandingReqsRdLm
 
int scalarOutstandingReqsRdGm
 
int scalarOutstandingReqsWrGm
 
int rdLmReqsInPipe
 
int rdGmReqsInPipe
 
int wrLmReqsInPipe
 
int wrGmReqsInPipe
 
int scalarRdGmReqsInPipe
 
int scalarWrGmReqsInPipe
 
int memTraceBusy
 
uint64_t lastTrace
 
int reservedVectorRegs
 
int reservedScalarRegs
 
uint32_t startVgprIndex
 
uint32_t startSgprIndex
 
std::vector< uint32_t > oldVgpr
 
uint32_t oldVgprId
 
uint64_t oldVgprTcnt
 
std::vector< uint64_t > oldDgpr
 
uint32_t oldDgprId
 
uint64_t oldDgprTcnt
 
VectorMask initMask
 
LdsChunkldsChunk
 
uint64_t wfDynId
 
uint64_t lastInstExec
 
std::unordered_map< int, uint64_t > rawDist
 
std::vector< int > vecReads
 
uint8_t * context
 
gem5::Wavefront::WavefrontStats stats
 

Private Member Functions

void reserveGmResource (GPUDynInstPtr ii)
 
void reserveLmResource (GPUDynInstPtr ii)
 

Private Attributes

TheGpuISA::GPUISA _gpuISA
 
int vmWaitCnt
 the following are used for waitcnt instructions vmWaitCnt: once set, we wait for the oustanding number of vector mem instructions to be at, or below vmWaitCnt. More...
 
int expWaitCnt
 
int lgkmWaitCnt
 
int vmemInstsIssued
 
int expInstsIssued
 
int lgkmInstsIssued
 
int sleepCnt
 
status_e status
 
Addr _pc
 
VectorMask _execMask
 
int barId
 

Additional Inherited Members

- Static Public Member Functions inherited from gem5::SimObject
static void serializeAll (const std::string &cpt_dir)
 Create a checkpoint by serializing all SimObjects in the system. More...
 
static SimObjectfind (const char *name)
 Find the SimObject with the given name and return a pointer to it. More...
 
static void setSimObjectResolver (SimObjectResolver *resolver)
 There is a single object name resolver, and it is only set when simulation is restoring from checkpoints. More...
 
static SimObjectResolvergetSimObjectResolver ()
 There is a single object name resolver, and it is only set when simulation is restoring from checkpoints. More...
 
- Static Public Member Functions inherited from gem5::Serializable
static const std::string & currentSection ()
 Gets the fully-qualified name of the active section. More...
 
static void generateCheckpointOut (const std::string &cpt_dir, std::ofstream &outstream)
 Generate a checkpoint file so that the serialization can be routed to it. More...
 
- Protected Member Functions inherited from gem5::Drainable
 Drainable ()
 
virtual ~Drainable ()
 
virtual void drainResume ()
 Resume execution after a successful drain. More...
 
void signalDrainDone () const
 Signal that an object is drained. More...
 
- Protected Attributes inherited from gem5::SimObject
const SimObjectParams & _params
 Cached copy of the object parameters. More...
 
- Protected Attributes inherited from gem5::EventManager
EventQueueeventq
 A pointer to this object's event queue. More...
 

Detailed Description

Definition at line 60 of file wavefront.hh.

Member Typedef Documentation

◆ Params

typedef WavefrontParams gem5::Wavefront::Params

Definition at line 244 of file wavefront.hh.

Member Enumeration Documentation

◆ status_e

Enumerator
S_STOPPED 
S_RETURNING 
S_RUNNING 
S_STALLED 
S_STALLED_SLEEP 
S_WAITCNT 

wavefront has unsatisfied wait counts

while in this state the WF will only execute if the oldest instruction is the waitcnt. while in S_WAITCNT, the wavefront will not be ready until all of its waitcnts have been satisfied. the scoreboard ready() function will check the status of the waitcnts whenever the WF is in S_WAITCNT, and once they are satisfied, it will resume normal operation.

S_BARRIER 

WF is stalled at a barrier.

Definition at line 63 of file wavefront.hh.

Constructor & Destructor Documentation

◆ Wavefront()

gem5::Wavefront::Wavefront ( const Params p)

◆ ~Wavefront()

gem5::Wavefront::~Wavefront ( )

Definition at line 537 of file wavefront.cc.

Member Function Documentation

◆ barrierId() [1/2]

int gem5::Wavefront::barrierId ( ) const

Definition at line 1446 of file wavefront.cc.

References barId.

◆ barrierId() [2/2]

void gem5::Wavefront::barrierId ( int  bar_id)

◆ clearWaitCnts()

void gem5::Wavefront::clearWaitCnts ( )

Definition at line 1337 of file wavefront.cc.

References expWaitCnt, lgkmWaitCnt, S_RUNNING, status, and vmWaitCnt.

Referenced by waitCntsSatisfied().

◆ computeActualWgSz()

void gem5::Wavefront::computeActualWgSz ( HSAQueueEntry task)

◆ decExpInstsIssued()

void gem5::Wavefront::decExpInstsIssued ( )

◆ decLGKMInstsIssued()

void gem5::Wavefront::decLGKMInstsIssued ( )

Definition at line 1381 of file wavefront.cc.

References lgkmInstsIssued.

Referenced by gem5::Gcn3ISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_UBYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_SBYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_USHORT::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX3::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX4::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC_X2::execute(), gem5::VegaISA::Inst_DS__DS_OR_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2ST64_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B8::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B16::execute(), gem5::VegaISA::Inst_DS__DS_READ_B32::execute(), gem5::VegaISA::Inst_DS__DS_READ2_B32::execute(), gem5::VegaISA::Inst_DS__DS_READ2ST64_B32::execute(), gem5::VegaISA::Inst_DS__DS_READ_U8::execute(), gem5::VegaISA::Inst_DS__DS_READ_U16::execute(), gem5::VegaISA::Inst_DS__DS_SWIZZLE_B32::execute(), gem5::VegaISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2_B64::execute(), gem5::VegaISA::Inst_DS__DS_READ_B64::execute(), gem5::VegaISA::Inst_DS__DS_READ2_B64::execute(), gem5::VegaISA::Inst_DS__DS_READ2ST64_B64::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_UBYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_USHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), and gem5::GPUDynInst::resolveFlatSegment().

◆ decVMemInstsIssued()

void gem5::Wavefront::decVMemInstsIssued ( )

Definition at line 1369 of file wavefront.cc.

References vmemInstsIssued.

Referenced by gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_UBYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_SBYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_USHORT::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX3::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX4::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC_X2::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_UBYTE::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_USHORT::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORD::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX3::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_BYTE::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_SHORT::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORD::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_WBINVL1::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_WBINVL1_VOL::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_UBYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_USHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), and gem5::GPUDynInst::resolveFlatSegment().

◆ discardFetch()

void gem5::Wavefront::discardFetch ( )

clear the fetch buffer for this wave in order to remove any stale inst data

Definition at line 1210 of file wavefront.cc.

References computeUnit, dropFetch, gem5::ComputeUnit::fetchStage, gem5::FetchStage::fetchUnit(), gem5::FetchUnit::flushBuf(), instructionBuffer, pendingFetch, simdId, and wfSlotId.

Referenced by exec().

◆ exec()

void gem5::Wavefront::exec ( )

if this wave is in S_WAITCNT state, then it should enter exec() precisely one time before the waitcnts are satisfied, in order to execute the waitcnt instruction itself thus we assert that the waitcnt is the oldest instruction. if we enter exec() with active waitcnts, and we're not executing the waitcnt instruction, something must be wrong

we return here to avoid spurious errors related to flat insts and their address segment resolution.

Definition at line 886 of file wavefront.cc.

References _gpuISA, gem5::ComputeUnit::ComputeUnitStats::activeLanesPerGMemInstrDist, gem5::ComputeUnit::ComputeUnitStats::activeLanesPerLMemInstrDist, computeUnit, gem5::ComputeUnit::ComputeUnitStats::controlFlowDivergenceDist, gem5::ComputeUnit::cu_id, gem5::Clocked::cyclesToTicks(), gem5::ComputeUnit::deleteFromPipeMap(), discardFetch(), DPRINTF, execMask(), gem5::ComputeUnit::ComputeUnitStats::execRateDist, fatal, gem5::ComputeUnit::getTokenManager(), gem5::Shader::hsail_mode, gem5::Shader::incVectorInstDstOperand(), gem5::Shader::incVectorInstSrcOperand(), gem5::ComputeUnit::ComputeUnitStats::instCyclesLdsPerSimd, gem5::ComputeUnit::ComputeUnitStats::instCyclesScMemPerSimd, gem5::ComputeUnit::ComputeUnitStats::instCyclesVMemPerSimd, gem5::ComputeUnit::instExecPerSimd, gem5::ComputeUnit::ComputeUnitStats::instInterleave, instructionBuffer, isGmInstruction(), isLmInstruction(), isOldestInstWaitcnt(), gem5::ComputeUnit::issuePeriod, gem5::ComputeUnit::lastExecCycle, lastInstExec, gem5::statistics::none, gem5::ComputeUnit::ComputeUnitStats::numInstrExecuted, gem5::Wavefront::WavefrontStats::numInstrExecuted, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecuted, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedF16, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedF32, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedF64, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedFMA16, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedFMA32, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedFMA64, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedMAC16, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedMAC32, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedMAC64, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedMAD16, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedMAD32, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedMAD64, gem5::ComputeUnit::ComputeUnitStats::numVecOpsExecutedTwoOpFP, panic, pc(), rawDist, gem5::Wavefront::WavefrontStats::readsPerWrite, gem5::TokenManager::recvTokens(), S_RETURNING, S_STALLED, S_STOPPED, S_WAITCNT, gem5::statistics::DistBase< Derived, Stor >::sample(), scalarAlu, gem5::ComputeUnit::scalarALUs, gem5::ComputeUnit::scalarMemUnit, gem5::ArmISA::set, gem5::WaitClass::set(), gem5::ComputeUnit::shader, simdId, gem5::Shader::SIMT, gem5::ComputeUnit::srf, gem5::ComputeUnit::srf_scm_bus_latency, gem5::ComputeUnit::srfToScalarMemPipeBus, gem5::ComputeUnit::stats, stats, status, gem5::ComputeUnit::ComputeUnitStats::totalCycles, gem5::ComputeUnit::updateInstStats(), gem5::statistics::ScalarBase< Derived, Stor >::value(), gem5::Wavefront::WavefrontStats::vecRawDistance, vecReads, gem5::ComputeUnit::vectorALUs, gem5::ComputeUnit::vectorGlobalMemUnit, gem5::ComputeUnit::vectorSharedMemUnit, gem5::ComputeUnit::vrf, gem5::ComputeUnit::vrf_gm_bus_latency, gem5::ComputeUnit::vrf_lm_bus_latency, gem5::ComputeUnit::vrfToGlobalMemPipeBus, gem5::ComputeUnit::vrfToLocalMemPipeBus, wfDynId, and wfSlotId.

Referenced by gem5::ExecStage::exec().

◆ execMask() [1/2]

VectorMask & gem5::Wavefront::execMask ( )

Definition at line 1399 of file wavefront.cc.

References _execMask.

Referenced by gem5::Gcn3ISA::Inst_DS::calcAddr(), gem5::VegaISA::Inst_DS::calcAddr(), exec(), gem5::Gcn3ISA::Inst_SOP1__S_AND_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_OR_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_XOR_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_ANDN2_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_ORN2_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_NAND_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_NOR_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOP1__S_XNOR_SAVEEXEC_B64::execute(), gem5::Gcn3ISA::Inst_SOPP__S_CBRANCH_EXECZ::execute(), gem5::Gcn3ISA::Inst_SOPP__S_CBRANCH_EXECNZ::execute(), gem5::Gcn3ISA::Inst_VOP2__V_CNDMASK_B32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_ADD_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUB_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUBREV_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_I32_I24::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_HI_I32_I24::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_U32_U24::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_HI_U32_U24::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MIN_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MAX_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MIN_I32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MAX_I32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MIN_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MAX_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_LSHRREV_B32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_ASHRREV_I32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_LSHLREV_B32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_AND_B32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_OR_B32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_XOR_B32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MAC_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MADMK_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MADAK_F32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_ADD_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUB_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUBREV_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_ADDC_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUBB_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUBBREV_U32::execute(), gem5::Gcn3ISA::Inst_VOP2__V_ADD_U16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUB_U16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_SUBREV_U16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MUL_LO_U16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_LSHLREV_B16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_LSHRREV_B16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_ASHRREV_I16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MAX_U16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MAX_I16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MIN_U16::execute(), gem5::Gcn3ISA::Inst_VOP2__V_MIN_I16::execute(), gem5::Gcn3ISA::Inst_VOP1__V_MOV_B32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_READFIRSTLANE_B32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_I32_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F64_I32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_I32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_U32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_U32_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_RPI_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_FLR_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F64_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_UBYTE0::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_UBYTE1::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_UBYTE2::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F32_UBYTE3::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_U32_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CVT_F64_U32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_TRUNC_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CEIL_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RNDNE_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FLOOR_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FRACT_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_TRUNC_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_CEIL_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RNDNE_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FLOOR_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_EXP_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_LOG_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RCP_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RCP_IFLAG_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RSQ_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RCP_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_RSQ_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_SQRT_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_SQRT_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_SIN_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_COS_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_NOT_B32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_BFREV_B32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FFBH_U32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FFBL_B32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FFBH_I32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FREXP_EXP_I32_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FREXP_MANT_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FRACT_F64::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FREXP_EXP_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_FREXP_MANT_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_EXP_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOP1__V_LOG_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_CLASS_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_CLASS_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_CLASS_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_CLASS_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LG_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_O_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_U_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NGE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NLG_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NGT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NLE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NEQ_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NLT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_TRU_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LG_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_O_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_U_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NGE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NLG_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NGT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NLE_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NEQ_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NLT_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_TRU_F32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LG_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_O_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_U_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NGE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NLG_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NGT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NLE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NEQ_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NLT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_TRU_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LG_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_O_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_U_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NGE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NLG_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NGT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NLE_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NEQ_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NLT_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_TRU_F64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NE_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_T_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NE_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_T_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NE_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_T_I16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NE_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_T_U16::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NE_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_T_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NE_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_T_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NE_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_T_I32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NE_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_T_U32::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NE_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_T_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_F_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LT_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_EQ_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_LE_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GT_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_NE_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_GE_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMP_T_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NE_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_T_I64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_F_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LT_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_EQ_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_LE_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GT_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_NE_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_GE_U64::execute(), gem5::Gcn3ISA::Inst_VOPC__V_CMPX_T_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_CLASS_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_CLASS_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_CLASS_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_CLASS_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_TRU_F16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_F16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_TRU_F16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LG_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_O_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_U_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NGE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NLG_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NGT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NLE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NEQ_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NLT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_TRU_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LG_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_O_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_U_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NGE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NLG_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NGT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NLE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NEQ_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NLT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_TRU_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LG_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_O_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_U_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NGE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NLG_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NGT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NLE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NEQ_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NLT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_TRU_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LG_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_O_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_U_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NGE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NLG_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NGT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NLE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NEQ_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NLT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_TRU_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NE_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_T_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NE_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_T_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NE_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_T_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NE_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_T_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_T_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_T_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_T_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_T_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NE_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_T_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_F_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LT_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_EQ_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_LE_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GT_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_NE_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_GE_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMP_T_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NE_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_T_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_F_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LT_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_EQ_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_LE_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GT_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_NE_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_GE_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CMPX_T_U64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CNDMASK_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ADD_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUB_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUBREV_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_I32_I24::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_HI_I32_I24::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_U32_U24::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_HI_U32_U24::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LSHRREV_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ASHRREV_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LSHLREV_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_AND_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_OR_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_XOR_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAC_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ADD_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUB_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUBREV_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ADDC_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUBB_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUBBREV_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ADD_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUB_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SUBREV_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_LO_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LSHLREV_B16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LSHRREV_B16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ASHRREV_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MOV_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_I32_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F64_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_U32_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_RPI_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_FLR_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F64_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_UBYTE0::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_UBYTE1::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_UBYTE2::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F32_UBYTE3::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_U32_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_F64_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_TRUNC_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CEIL_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RNDNE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FLOOR_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FRACT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_TRUNC_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CEIL_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RNDNE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FLOOR_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_EXP_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LOG_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RCP_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RCP_IFLAG_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RSQ_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RCP_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_RSQ_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SQRT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SQRT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SIN_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_COS_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_NOT_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_BFREV_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FFBH_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FFBL_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FFBH_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FREXP_EXP_I32_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FREXP_MANT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FRACT_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FREXP_EXP_I32_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FREXP_MANT_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_EXP_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LOG_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_LEGACY_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_I32_I24::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_U32_U24::execute(), gem5::Gcn3ISA::Inst_VOP3__V_BFE_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_BFE_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_BFI_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FMA_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_FMA_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LERP_U8::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ALIGNBIT_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ALIGNBYTE_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN3_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN3_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN3_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX3_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX3_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX3_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MED3_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MED3_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MED3_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SAD_U8::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SAD_HI_U8::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SAD_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_SAD_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_CVT_PK_U8_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_DIV_FIXUP_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_DIV_FIXUP_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_DIV_SCALE_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_DIV_SCALE_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_DIV_FMAS_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_DIV_FMAS_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_U64_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_I64_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_U16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAD_I16::execute(), gem5::Gcn3ISA::Inst_VOP3__V_PERM_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ADD_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MIN_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MAX_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LDEXP_F64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_LO_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_HI_U32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MUL_HI_I32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LDEXP_F32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_BCNT_U32_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MBCNT_LO_U32_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_MBCNT_HI_U32_B32::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LSHLREV_B64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_LSHRREV_B64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_ASHRREV_I64::execute(), gem5::Gcn3ISA::Inst_VOP3__V_BFM_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE2_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE2ST64_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B8::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B16::execute(), gem5::Gcn3ISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B64::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE2_B64::execute(), gem5::VegaISA::Inst_SOP1__S_AND_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_OR_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_XOR_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_ANDN2_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_ORN2_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_NAND_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_NOR_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOP1__S_XNOR_SAVEEXEC_B64::execute(), gem5::VegaISA::Inst_SOPP__S_CBRANCH_EXECZ::execute(), gem5::VegaISA::Inst_SOPP__S_CBRANCH_EXECNZ::execute(), gem5::VegaISA::Inst_VOP2__V_CNDMASK_B32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_F32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_I32_I24::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_HI_I32_I24::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_U32_U24::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_HI_U32_U24::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_I32::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_I32::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_U32::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_U32::execute(), gem5::VegaISA::Inst_VOP2__V_LSHRREV_B32::execute(), gem5::VegaISA::Inst_VOP2__V_ASHRREV_I32::execute(), gem5::VegaISA::Inst_VOP2__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP2__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP2__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP2__V_XOR_B32::execute(), gem5::VegaISA::Inst_VOP2__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MADMK_F32::execute(), gem5::VegaISA::Inst_VOP2__V_MADAK_F32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_ADDC_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBB_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_U16::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_U16::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_U16::execute(), gem5::VegaISA::Inst_VOP2__V_MUL_LO_U16::execute(), gem5::VegaISA::Inst_VOP2__V_LSHLREV_B16::execute(), gem5::VegaISA::Inst_VOP2__V_LSHRREV_B16::execute(), gem5::VegaISA::Inst_VOP2__V_ASHRREV_I16::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_U16::execute(), gem5::VegaISA::Inst_VOP2__V_MAX_I16::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_U16::execute(), gem5::VegaISA::Inst_VOP2__V_MIN_I16::execute(), gem5::VegaISA::Inst_VOP2__V_ADD_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUB_U32::execute(), gem5::VegaISA::Inst_VOP2__V_SUBREV_U32::execute(), gem5::VegaISA::Inst_VOP1__V_MOV_B32::execute(), gem5::VegaISA::Inst_VOP1__V_READFIRSTLANE_B32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_I32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F64_I32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_I32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_U32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_U32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_RPI_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_FLR_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F64_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE0::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE1::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE2::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F32_UBYTE3::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_U32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CVT_F64_U32::execute(), gem5::VegaISA::Inst_VOP1__V_TRUNC_F64::execute(), gem5::VegaISA::Inst_VOP1__V_CEIL_F64::execute(), gem5::VegaISA::Inst_VOP1__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FLOOR_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FRACT_F32::execute(), gem5::VegaISA::Inst_VOP1__V_TRUNC_F32::execute(), gem5::VegaISA::Inst_VOP1__V_CEIL_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP1__V_FLOOR_F32::execute(), gem5::VegaISA::Inst_VOP1__V_EXP_F32::execute(), gem5::VegaISA::Inst_VOP1__V_LOG_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RCP_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RCP_IFLAG_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RSQ_F32::execute(), gem5::VegaISA::Inst_VOP1__V_RCP_F64::execute(), gem5::VegaISA::Inst_VOP1__V_RSQ_F64::execute(), gem5::VegaISA::Inst_VOP1__V_SQRT_F32::execute(), gem5::VegaISA::Inst_VOP1__V_SQRT_F64::execute(), gem5::VegaISA::Inst_VOP1__V_SIN_F32::execute(), gem5::VegaISA::Inst_VOP1__V_COS_F32::execute(), gem5::VegaISA::Inst_VOP1__V_NOT_B32::execute(), gem5::VegaISA::Inst_VOP1__V_BFREV_B32::execute(), gem5::VegaISA::Inst_VOP1__V_FFBH_U32::execute(), gem5::VegaISA::Inst_VOP1__V_FFBL_B32::execute(), gem5::VegaISA::Inst_VOP1__V_FFBH_I32::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_EXP_I32_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_MANT_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FRACT_F64::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_EXP_I32_F32::execute(), gem5::VegaISA::Inst_VOP1__V_FREXP_MANT_F32::execute(), gem5::VegaISA::Inst_VOP1__V_EXP_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP1__V_LOG_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_CLASS_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_CLASS_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_CLASS_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_CLASS_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_O_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_U_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NEQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_TRU_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_O_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_U_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLG_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLE_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NEQ_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLT_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_TRU_F32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_O_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_U_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NGT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NEQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NLT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_TRU_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_O_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_U_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLG_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NGT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLE_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NEQ_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NLT_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_TRU_F64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_I16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_U16::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_I32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_U32::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_F_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_EQ_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_LE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_NE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_GE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMP_T_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_I64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_F_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_EQ_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_LE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GT_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_NE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_GE_U64::execute(), gem5::VegaISA::Inst_VOPC__V_CMPX_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_CLASS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_TRU_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_O_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_U_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLG_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NGT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NEQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NLT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_TRU_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U16::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_F_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMP_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_I64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_F_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_EQ_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_LE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GT_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_NE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_GE_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CMPX_T_U64::execute(), gem5::VegaISA::Inst_VOP3__V_CNDMASK_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_OR3_B32::execute(), gem5::VegaISA::Inst_VOP3__V_XOR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADDC_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBB_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBBREV_CO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B16::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_I16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_I16::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUB_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SUBREV_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MOV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_I32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_RPI_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_FLR_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE0::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE1::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE2::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F32_UBYTE3::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_U32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_F64_U32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F64::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_TRUNC_F32::execute(), gem5::VegaISA::Inst_VOP3__V_CEIL_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RNDNE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FLOOR_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_IFLAG_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F32::execute(), gem5::VegaISA::Inst_VOP3__V_RCP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_RSQ_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_SQRT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_SIN_F32::execute(), gem5::VegaISA::Inst_VOP3__V_COS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_NOT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_BFREV_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_U32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBL_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FFBH_I32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FRACT_F64::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_EXP_I32_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FREXP_MANT_F32::execute(), gem5::VegaISA::Inst_VOP3__V_EXP_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_LOG_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_LEGACY_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I32_I24::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U32_U24::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_U32::execute(), gem5::VegaISA::Inst_VOP3__V_BFE_I32::execute(), gem5::VegaISA::Inst_VOP3__V_BFI_B32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F32::execute(), gem5::VegaISA::Inst_VOP3__V_FMA_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LERP_U8::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBIT_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ALIGNBYTE_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MIN3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MAX3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_F32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_I32::execute(), gem5::VegaISA::Inst_VOP3__V_MED3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_HI_U8::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_SAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_CVT_PK_U8_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FIXUP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_SCALE_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_SCALE_F64::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F32::execute(), gem5::VegaISA::Inst_VOP3__V_DIV_FMAS_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U64_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I64_I32::execute(), gem5::VegaISA::Inst_VOP3__V_XAD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_ADD_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_LSHL_U32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD3_U32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHL_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_AND_OR_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_U16::execute(), gem5::VegaISA::Inst_VOP3__V_MAD_I16::execute(), gem5::VegaISA::Inst_VOP3__V_PERM_B32::execute(), gem5::VegaISA::Inst_VOP3__V_ADD_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MIN_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MAX_F64::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F64::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_LO_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_U32::execute(), gem5::VegaISA::Inst_VOP3__V_MUL_HI_I32::execute(), gem5::VegaISA::Inst_VOP3__V_LDEXP_F32::execute(), gem5::VegaISA::Inst_VOP3__V_BCNT_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_LO_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_MBCNT_HI_U32_B32::execute(), gem5::VegaISA::Inst_VOP3__V_LSHLREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_LSHRREV_B64::execute(), gem5::VegaISA::Inst_VOP3__V_ASHRREV_I64::execute(), gem5::VegaISA::Inst_VOP3__V_BFM_B32::execute(), gem5::VegaISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::VectorRegisterFile::printReg(), gem5::Gcn3ISA::VecOperand< DataType, Const, NumDwords >::write(), gem5::Gcn3ISA::ScalarOperand< DataType, Const, NumDwords >::write(), gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::write(), and gem5::VegaISA::ScalarOperand< DataType, Const, NumDwords >::write().

◆ execMask() [2/2]

bool gem5::Wavefront::execMask ( int  lane) const

Definition at line 1405 of file wavefront.cc.

References _execMask.

◆ freeRegisterFile()

void gem5::Wavefront::freeRegisterFile ( )

◆ freeResources()

void gem5::Wavefront::freeResources ( )

Definition at line 765 of file wavefront.cc.

References execUnitId.

Referenced by gem5::ExecStage::exec().

◆ getStatus()

status_e gem5::Wavefront::getStatus ( )
inline

◆ gpuISA()

TheGpuISA::GPUISA& gem5::Wavefront::gpuISA ( )
inline

Definition at line 289 of file wavefront.hh.

References _gpuISA.

◆ hasBarrier()

bool gem5::Wavefront::hasBarrier ( ) const

◆ incExpInstsIssued()

void gem5::Wavefront::incExpInstsIssued ( )

Definition at line 1357 of file wavefront.cc.

References expInstsIssued.

Referenced by gem5::ScheduleStage::exec().

◆ incLGKMInstsIssued()

void gem5::Wavefront::incLGKMInstsIssued ( )

Definition at line 1363 of file wavefront.cc.

References lgkmInstsIssued.

Referenced by gem5::ScheduleStage::exec().

◆ incVMemInstsIssued()

void gem5::Wavefront::incVMemInstsIssued ( )

Definition at line 1351 of file wavefront.cc.

References vmemInstsIssued.

Referenced by gem5::ScheduleStage::exec().

◆ init()

void gem5::Wavefront::init ( )
virtual

init() is called after all C++ SimObjects have been created and all ports are connected.

Initializations that are independent of unserialization but rely on a fully instantiated and connected SimObject graph should be done here.

Reimplemented from gem5::SimObject.

Definition at line 102 of file wavefront.cc.

References computeUnit, globalMem, localMem, gem5::ComputeUnit::mapWaveToGlobalMem(), gem5::ComputeUnit::mapWaveToLocalMem(), gem5::ComputeUnit::mapWaveToScalarAlu(), gem5::ComputeUnit::mapWaveToScalarAluGlobalIdx(), gem5::ComputeUnit::mapWaveToScalarMem(), reservedScalarRegs, reservedVectorRegs, scalarAlu, scalarAluGlobalIdx, scalarMem, startSgprIndex, and startVgprIndex.

◆ initRegState()

void gem5::Wavefront::initRegState ( HSAQueueEntry task,
int  wgSizeInWorkItems 
)

Since flat scratch init is needed for this kernel, this kernel is going to have flat memory instructions and we need to initialize the hidden private base for this queue. scratch_resource_descriptor[0] has this queue's scratch base address. scratch_backing_memory_location has the offset to this queue's scratch base address from the SH_HIDDEN_PRIVATE_BASE_VMID. Ideally, we only require this queue's scratch base address for address calculation (stored in scratch_resource_descriptor[0]). But that address calculation shoule be done by first finding the queue's scratch base address using the calculation "SH_HIDDEN_PRIVATE_BASE_VMID + offset". So, we initialize SH_HIDDEN_PRIVATE_BASE_VMID.

For more details see: http://rocm-documentation.readthedocs.io/en/latest/ ROCm_Compiler_SDK/ROCm-Native-ISA.html#flat-scratch

https://github.com/ROCm-Developer-Tools/ ROCm-ComputeABI-Doc/blob/master/AMDGPU-ABI.md #flat-addressing

the compute_tmpring_size_wavesize specifies the number of kB allocated per wavefront, hence the multiplication by 1024.

to get the per wavefront offset into the scratch memory, we also multiply this by the wfId. the wfId stored in the Wavefront class, however, is the wave ID within the WG, whereas here we need the global WFID because the scratch space will be divided amongst all waves in the kernel. to get the global ID we multiply the WGID by the WG size, then add the WFID of the wave within its WG.

Definition at line 117 of file wavefront.cc.

References gem5::HSAQueueEntry::amdQueue, gem5::bits(), gem5::_amd_queue_t::compute_tmpring_size_wavesize, computeUnit, gem5::ComputeUnit::cu_id, gem5::HSAQueueEntry::dispatchId(), gem5::DispatchId, gem5::DispatchPtr, gem5::divCeil(), DPRINTF, fatal, gem5::FlatScratchInit, gem5::HSAQueueEntry::gridSize(), gem5::GridWorkgroupCountX, gem5::GridWorkgroupCountY, gem5::GridWorkgroupCountZ, gem5::HSAQueueEntry::hostAMDQueueAddr, gem5::HSAQueueEntry::hostDispPktAddr(), gem5::Shader::initShHiddenPrivateBase(), gem5::HSAQueueEntry::kernargAddr(), gem5::KernargSegPtr, gem5::RegisterManager::mapSgpr(), gem5::RegisterManager::mapVgpr(), gem5::NumScalarInitFields, gem5::NumVectorInitFields, gem5::PrivateSegBuf, gem5::PrivateSegSize, gem5::HSAQueueEntry::privMemPerItem(), gem5::PrivSegWaveByteOffset, gem5::QueuePtr, rawDist, gem5::ComputeUnit::registerManager, gem5::_amd_queue_t::scratch_backing_memory_location, gem5::_amd_queue_t::scratch_resource_descriptor, gem5::_amd_queue_t::scratch_workitem_byte_size, gem5::HSAQueueEntry::sgprBitEnabled(), gem5::ComputeUnit::shader, simdId, gem5::ComputeUnit::srf, gem5::HSAQueueEntry::vgprBitEnabled(), gem5::ComputeUnit::vrf, wfDynId, wfId, gem5::ComputeUnit::wfSize(), wfSlotId, wgId, gem5::HSAQueueEntry::wgSize(), wgSz, workGroupId, gem5::WorkgroupIdX, gem5::WorkgroupIdY, gem5::WorkgroupIdZ, gem5::WorkgroupInfo, workItemId, gem5::WorkitemIdX, gem5::WorkitemIdY, and gem5::WorkitemIdZ.

◆ isGmInstruction()

bool gem5::Wavefront::isGmInstruction ( GPUDynInstPtr  ii)

Definition at line 590 of file wavefront.cc.

Referenced by exec().

◆ isLmInstruction()

bool gem5::Wavefront::isLmInstruction ( GPUDynInstPtr  ii)

Definition at line 601 of file wavefront.cc.

Referenced by exec().

◆ isOldestInstBarrier()

bool gem5::Wavefront::isOldestInstBarrier ( )

Definition at line 673 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

Referenced by gem5::ScheduleStage::addToSchList().

◆ isOldestInstFlatMem()

bool gem5::Wavefront::isOldestInstFlatMem ( )

Definition at line 738 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstGMem()

bool gem5::Wavefront::isOldestInstGMem ( )

Definition at line 686 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstLMem()

bool gem5::Wavefront::isOldestInstLMem ( )

Definition at line 712 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstPrivMem()

bool gem5::Wavefront::isOldestInstPrivMem ( )

Definition at line 725 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstScalarALU()

bool gem5::Wavefront::isOldestInstScalarALU ( )

Definition at line 643 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstScalarMem()

bool gem5::Wavefront::isOldestInstScalarMem ( )

Definition at line 699 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstSleep()

bool gem5::Wavefront::isOldestInstSleep ( )

Definition at line 612 of file wavefront.cc.

References instructionBuffer.

Referenced by gem5::ScheduleStage::addToSchList().

◆ isOldestInstVectorALU()

bool gem5::Wavefront::isOldestInstVectorALU ( )

Definition at line 658 of file wavefront.cc.

References instructionBuffer, S_STOPPED, and status.

◆ isOldestInstWaitcnt()

bool gem5::Wavefront::isOldestInstWaitcnt ( )

Definition at line 626 of file wavefront.cc.

References instructionBuffer.

Referenced by gem5::ScheduleStage::addToSchList(), and exec().

◆ nextInstr()

GPUDynInstPtr gem5::Wavefront::nextInstr ( )

◆ pc() [1/2]

Addr gem5::Wavefront::pc ( ) const

◆ pc() [2/2]

void gem5::Wavefront::pc ( Addr  new_pc)

Definition at line 1393 of file wavefront.cc.

References _pc.

◆ releaseBarrier()

void gem5::Wavefront::releaseBarrier ( )

◆ reserveGmResource()

void gem5::Wavefront::reserveGmResource ( GPUDynInstPtr  ii)
private

◆ reserveLmResource()

void gem5::Wavefront::reserveLmResource ( GPUDynInstPtr  ii)
private

Definition at line 814 of file wavefront.cc.

References execUnitId, fatal_if, localMem, panic, rdLmReqsInPipe, and wrLmReqsInPipe.

Referenced by reserveResources().

◆ reserveResources()

std::vector< int > gem5::Wavefront::reserveResources ( )

◆ resizeRegFiles()

void gem5::Wavefront::resizeRegFiles ( int  num_vregs,
int  num_sregs 
)

Definition at line 531 of file wavefront.cc.

References maxSgprs, and maxVgprs.

◆ setParent()

void gem5::Wavefront::setParent ( ComputeUnit cu)
inline

Definition at line 250 of file wavefront.hh.

References computeUnit.

◆ setSleepTime()

void gem5::Wavefront::setSleepTime ( int  sleep_time)

Definition at line 1285 of file wavefront.cc.

References sleepCnt.

◆ setStatus()

void gem5::Wavefront::setStatus ( status_e  newStatus)

◆ setWaitCnts()

void gem5::Wavefront::setWaitCnts ( int  vm_wait_cnt,
int  exp_wait_cnt,
int  lgkm_wait_cnt 
)

prior waitcnts should be satisfied, at which time the WF resets them back to -1, indicating they are no longer active

if the instruction encoding indicates a waitcnt of 0xf, that means the waitcnt is not being used

Definition at line 1292 of file wavefront.cc.

References expWaitCnt, lgkmWaitCnt, S_WAITCNT, status, and vmWaitCnt.

◆ sleepDone()

bool gem5::Wavefront::sleepDone ( )

Definition at line 1266 of file wavefront.cc.

References S_RUNNING, S_STALLED_SLEEP, sleepCnt, and status.

◆ start()

void gem5::Wavefront::start ( uint64_t  _wfDynId,
uint64_t  _base_ptr 
)

Definition at line 579 of file wavefront.cc.

References _pc, maxVgprs, S_RUNNING, status, vecReads, and wfDynId.

◆ stopFetch()

bool gem5::Wavefront::stopFetch ( )

Definition at line 751 of file wavefront.cc.

References instructionBuffer.

Referenced by gem5::FetchUnit::exec().

◆ validateRequestCounters()

void gem5::Wavefront::validateRequestCounters ( )

◆ waitCntsSatisfied()

bool gem5::Wavefront::waitCntsSatisfied ( )

If we reach here, that means an s_waitcnt instruction was executed and the waitcnts are set by the execute method. Check if waitcnts are satisfied.

Definition at line 1223 of file wavefront.cc.

References clearWaitCnts(), expInstsIssued, expWaitCnt, lgkmInstsIssued, lgkmWaitCnt, vmemInstsIssued, and vmWaitCnt.

Member Data Documentation

◆ _execMask

VectorMask gem5::Wavefront::_execMask
private

Definition at line 330 of file wavefront.hh.

Referenced by execMask(), and Wavefront().

◆ _gpuISA

TheGpuISA::GPUISA gem5::Wavefront::_gpuISA
private

Definition at line 300 of file wavefront.hh.

Referenced by exec(), and gpuISA().

◆ _pc

Addr gem5::Wavefront::_pc
private

Definition at line 329 of file wavefront.hh.

Referenced by pc(), and start().

◆ actualWgSz

uint32_t gem5::Wavefront::actualWgSz[3]

Definition at line 163 of file wavefront.hh.

Referenced by computeActualWgSz().

◆ actualWgSzTotal

uint32_t gem5::Wavefront::actualWgSzTotal

Definition at line 164 of file wavefront.hh.

Referenced by computeActualWgSz().

◆ barId

int gem5::Wavefront::barId
private

Definition at line 331 of file wavefront.hh.

Referenced by barrierId(), hasBarrier(), and releaseBarrier().

◆ computeUnit

ComputeUnit* gem5::Wavefront::computeUnit

◆ context

uint8_t* gem5::Wavefront::context

Definition at line 242 of file wavefront.hh.

◆ dispatchId

uint32_t gem5::Wavefront::dispatchId

◆ dropFetch

bool gem5::Wavefront::dropFetch

◆ execUnitId

int gem5::Wavefront::execUnitId

Definition at line 102 of file wavefront.hh.

Referenced by gem5::Gcn3ISA::Inst_SMEM__S_LOAD_DWORD::execute(), gem5::Gcn3ISA::Inst_SMEM__S_LOAD_DWORDX2::execute(), gem5::Gcn3ISA::Inst_SMEM__S_LOAD_DWORDX4::execute(), gem5::Gcn3ISA::Inst_SMEM__S_LOAD_DWORDX8::execute(), gem5::Gcn3ISA::Inst_SMEM__S_LOAD_DWORDX16::execute(), gem5::Gcn3ISA::Inst_SMEM__S_BUFFER_LOAD_DWORD::execute(), gem5::Gcn3ISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX2::execute(), gem5::Gcn3ISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX4::execute(), gem5::Gcn3ISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX8::execute(), gem5::Gcn3ISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX16::execute(), gem5::Gcn3ISA::Inst_SMEM__S_STORE_DWORD::execute(), gem5::Gcn3ISA::Inst_SMEM__S_STORE_DWORDX2::execute(), gem5::Gcn3ISA::Inst_SMEM__S_STORE_DWORDX4::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE2_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE2ST64_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B8::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B16::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ2_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ2ST64_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ_U8::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ_U16::execute(), gem5::Gcn3ISA::Inst_DS__DS_SWIZZLE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B64::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE2_B64::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ_B64::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ2_B64::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ2ST64_B64::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B96::execute(), gem5::Gcn3ISA::Inst_DS__DS_WRITE_B128::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ_B96::execute(), gem5::Gcn3ISA::Inst_DS__DS_READ_B128::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_LOAD_UBYTE::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_LOAD_USHORT::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_LOAD_DWORD::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_LOAD_DWORDX2::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_LOAD_DWORDX3::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_LOAD_DWORDX4::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_STORE_BYTE::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_STORE_SHORT::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_STORE_DWORD::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_STORE_DWORDX2::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_STORE_DWORDX3::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_STORE_DWORDX4::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_WBINVL1::execute(), gem5::Gcn3ISA::Inst_MUBUF__BUFFER_WBINVL1_VOL::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_UBYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_SBYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_USHORT::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX3::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_LOAD_DWORDX4::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_SUB_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_INC_X2::execute(), gem5::Gcn3ISA::Inst_FLAT__FLAT_ATOMIC_DEC_X2::execute(), gem5::VegaISA::Inst_SMEM__S_LOAD_DWORD::execute(), gem5::VegaISA::Inst_SMEM__S_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_SMEM__S_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_SMEM__S_LOAD_DWORDX8::execute(), gem5::VegaISA::Inst_SMEM__S_LOAD_DWORDX16::execute(), gem5::VegaISA::Inst_SMEM__S_BUFFER_LOAD_DWORD::execute(), gem5::VegaISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX8::execute(), gem5::VegaISA::Inst_SMEM__S_BUFFER_LOAD_DWORDX16::execute(), gem5::VegaISA::Inst_SMEM__S_STORE_DWORD::execute(), gem5::VegaISA::Inst_SMEM__S_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_SMEM__S_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_DS__DS_OR_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2ST64_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B8::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B16::execute(), gem5::VegaISA::Inst_DS__DS_READ_B32::execute(), gem5::VegaISA::Inst_DS__DS_READ2_B32::execute(), gem5::VegaISA::Inst_DS__DS_READ2ST64_B32::execute(), gem5::VegaISA::Inst_DS__DS_READ_U8::execute(), gem5::VegaISA::Inst_DS__DS_READ_U16::execute(), gem5::VegaISA::Inst_DS__DS_SWIZZLE_B32::execute(), gem5::VegaISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE2_B64::execute(), gem5::VegaISA::Inst_DS__DS_READ_B64::execute(), gem5::VegaISA::Inst_DS__DS_READ2_B64::execute(), gem5::VegaISA::Inst_DS__DS_READ2ST64_B64::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B96::execute(), gem5::VegaISA::Inst_DS__DS_WRITE_B128::execute(), gem5::VegaISA::Inst_DS__DS_READ_B96::execute(), gem5::VegaISA::Inst_DS__DS_READ_B128::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_UBYTE::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_USHORT::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORD::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX3::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_BYTE::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_SHORT::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORD::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_WBINVL1::execute(), gem5::VegaISA::Inst_MUBUF__BUFFER_WBINVL1_VOL::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_UBYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_USHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_LOAD_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_BYTE::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_SHORT::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX3::execute(), gem5::VegaISA::Inst_FLAT__FLAT_STORE_DWORDX4::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_SWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_CMPSWAP_X2::execute(), gem5::VegaISA::Inst_FLAT__FLAT_ATOMIC_ADD_X2::execute(), freeResources(), reserveGmResource(), reserveLmResource(), reserveResources(), gem5::GPUDynInst::resolveFlatSegment(), and Wavefront().

◆ expInstsIssued

int gem5::Wavefront::expInstsIssued
private

Definition at line 325 of file wavefront.hh.

Referenced by decExpInstsIssued(), incExpInstsIssued(), and waitCntsSatisfied().

◆ expWaitCnt

int gem5::Wavefront::expWaitCnt
private

Definition at line 322 of file wavefront.hh.

Referenced by clearWaitCnts(), setWaitCnts(), and waitCntsSatisfied().

◆ flatGmUnitId

int gem5::Wavefront::flatGmUnitId

Definition at line 104 of file wavefront.hh.

Referenced by reserveResources(), and gem5::GPUDynInst::resolveFlatSegment().

◆ flatLmUnitId

int gem5::Wavefront::flatLmUnitId

Definition at line 103 of file wavefront.hh.

Referenced by reserveResources(), and gem5::GPUDynInst::resolveFlatSegment().

◆ globalMem

int gem5::Wavefront::globalMem

Definition at line 126 of file wavefront.hh.

Referenced by init(), reserveGmResource(), and gem5::ScheduleStage::reserveResources().

◆ gridSz

uint32_t gem5::Wavefront::gridSz[3]

Definition at line 159 of file wavefront.hh.

Referenced by computeActualWgSz().

◆ initMask

VectorMask gem5::Wavefront::initMask

Definition at line 219 of file wavefront.hh.

◆ instructionBuffer

std::deque<GPUDynInstPtr> gem5::Wavefront::instructionBuffer

◆ kernId

int gem5::Wavefront::kernId

◆ lastAddr

std::vector<Addr> gem5::Wavefront::lastAddr

Definition at line 153 of file wavefront.hh.

Referenced by Wavefront().

◆ lastInstExec

uint64_t gem5::Wavefront::lastInstExec

◆ lastNonIdleTick

Tick gem5::Wavefront::lastNonIdleTick

Definition at line 114 of file wavefront.hh.

Referenced by setStatus(), and Wavefront().

◆ lastTrace

uint64_t gem5::Wavefront::lastTrace

Definition at line 192 of file wavefront.hh.

Referenced by Wavefront().

◆ ldsChunk

LdsChunk* gem5::Wavefront::ldsChunk

◆ lgkmInstsIssued

int gem5::Wavefront::lgkmInstsIssued
private

Definition at line 326 of file wavefront.hh.

Referenced by decLGKMInstsIssued(), incLGKMInstsIssued(), and waitCntsSatisfied().

◆ lgkmWaitCnt

int gem5::Wavefront::lgkmWaitCnt
private

Definition at line 323 of file wavefront.hh.

Referenced by clearWaitCnts(), setWaitCnts(), and waitCntsSatisfied().

◆ localMem

int gem5::Wavefront::localMem

◆ maxDynWaveId

uint32_t gem5::Wavefront::maxDynWaveId

Definition at line 168 of file wavefront.hh.

◆ maxIbSize

int gem5::Wavefront::maxIbSize

Definition at line 107 of file wavefront.hh.

Referenced by gem5::FetchUnit::FetchBufDesc::allocateBuf().

◆ maxSgprs

uint32_t gem5::Wavefront::maxSgprs

Definition at line 133 of file wavefront.hh.

Referenced by resizeRegFiles(), gem5::GPUDynInst::resolveFlatSegment(), and Wavefront().

◆ maxVgprs

uint32_t gem5::Wavefront::maxVgprs

Definition at line 131 of file wavefront.hh.

Referenced by freeRegisterFile(), resizeRegFiles(), start(), and Wavefront().

◆ memTraceBusy

int gem5::Wavefront::memTraceBusy

Definition at line 191 of file wavefront.hh.

Referenced by Wavefront().

◆ oldDgpr

std::vector<uint64_t> gem5::Wavefront::oldDgpr

Definition at line 212 of file wavefront.hh.

Referenced by Wavefront().

◆ oldDgprId

uint32_t gem5::Wavefront::oldDgprId

Definition at line 214 of file wavefront.hh.

◆ oldDgprTcnt

uint64_t gem5::Wavefront::oldDgprTcnt

Definition at line 216 of file wavefront.hh.

Referenced by Wavefront().

◆ oldVgpr

std::vector<uint32_t> gem5::Wavefront::oldVgpr

Definition at line 205 of file wavefront.hh.

Referenced by Wavefront().

◆ oldVgprId

uint32_t gem5::Wavefront::oldVgprId

Definition at line 207 of file wavefront.hh.

◆ oldVgprTcnt

uint64_t gem5::Wavefront::oldVgprTcnt

Definition at line 209 of file wavefront.hh.

Referenced by Wavefront().

◆ outstandingReqs

int gem5::Wavefront::outstandingReqs

◆ outstandingReqsRdGm

int gem5::Wavefront::outstandingReqsRdGm

Definition at line 177 of file wavefront.hh.

Referenced by gem5::GlobalMemPipeline::issueRequest(), and Wavefront().

◆ outstandingReqsRdLm

int gem5::Wavefront::outstandingReqsRdLm

Definition at line 179 of file wavefront.hh.

Referenced by gem5::LocalMemPipeline::issueRequest(), and Wavefront().

◆ outstandingReqsWrGm

int gem5::Wavefront::outstandingReqsWrGm

Definition at line 173 of file wavefront.hh.

Referenced by gem5::GlobalMemPipeline::issueRequest(), and Wavefront().

◆ outstandingReqsWrLm

int gem5::Wavefront::outstandingReqsWrLm

Definition at line 175 of file wavefront.hh.

Referenced by gem5::LocalMemPipeline::issueRequest(), and Wavefront().

◆ pendingFetch

bool gem5::Wavefront::pendingFetch

◆ rawDist

std::unordered_map<int,uint64_t> gem5::Wavefront::rawDist

◆ rdGmReqsInPipe

int gem5::Wavefront::rdGmReqsInPipe

◆ rdLmReqsInPipe

int gem5::Wavefront::rdLmReqsInPipe

◆ reservedScalarRegs

int gem5::Wavefront::reservedScalarRegs

◆ reservedVectorRegs

int gem5::Wavefront::reservedVectorRegs

Definition at line 194 of file wavefront.hh.

Referenced by freeRegisterFile(), init(), and Wavefront().

◆ scalarAlu

int gem5::Wavefront::scalarAlu

Definition at line 121 of file wavefront.hh.

Referenced by gem5::ScheduleStage::dispatchReady(), exec(), and init().

◆ scalarAluGlobalIdx

int gem5::Wavefront::scalarAluGlobalIdx

Definition at line 125 of file wavefront.hh.

Referenced by init(), and reserveResources().

◆ scalarMem

int gem5::Wavefront::scalarMem

Definition at line 128 of file wavefront.hh.

Referenced by init(), and reserveGmResource().

◆ scalarOutstandingReqsRdGm

int gem5::Wavefront::scalarOutstandingReqsRdGm

Definition at line 181 of file wavefront.hh.

Referenced by gem5::ScalarMemPipeline::issueRequest(), and Wavefront().

◆ scalarOutstandingReqsWrGm

int gem5::Wavefront::scalarOutstandingReqsWrGm

Definition at line 183 of file wavefront.hh.

Referenced by gem5::ScalarMemPipeline::issueRequest(), and Wavefront().

◆ scalarRdGmReqsInPipe

int gem5::Wavefront::scalarRdGmReqsInPipe

◆ scalarWrGmReqsInPipe

int gem5::Wavefront::scalarWrGmReqsInPipe

◆ simdId

const int gem5::Wavefront::simdId

Definition at line 99 of file wavefront.hh.

Referenced by gem5::ScheduleStage::addToSchList(), gem5::ScheduleStage::checkRfOperandReadComplete(), discardFetch(), gem5::ScheduleStage::dispatchReady(), gem5::ExecStage::dumpDispList(), gem5::ExecStage::exec(), gem5::ScoreboardCheckStage::exec(), exec(), gem5::Gcn3ISA::Inst_SOPP__S_ENDPGM::execute(), gem5::Gcn3ISA::Inst_SOPP__S_BARRIER::execute(), gem5::Gcn3ISA::Inst_DS__DS_SWIZZLE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::Gcn3ISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::VegaISA::Inst_SOPP__S_ENDPGM::execute(), gem5::VegaISA::Inst_SOPP__S_BARRIER::execute(), gem5::VegaISA::Inst_DS__DS_SWIZZLE_B32::execute(), gem5::VegaISA::Inst_DS__DS_PERMUTE_B32::execute(), gem5::VegaISA::Inst_DS__DS_BPERMUTE_B32::execute(), gem5::FetchStage::fetch(), gem5::FetchUnit::fetch(), freeRegisterFile(), gem5::GPUDynInst::GPUDynInst(), gem5::FetchUnit::initiateFetch(), initRegState(), gem5::ScalarRegisterFile::printReg(), gem5::VectorRegisterFile::printReg(), gem5::FetchStage::processFetchReturn(), gem5::FetchUnit::processFetchReturn(), gem5::Gcn3ISA::VecOperand< DataType, Const, NumDwords >::read(), gem5::Gcn3ISA::ScalarOperand< DataType, Const, NumDwords >::read(), gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::read(), gem5::VegaISA::ScalarOperand< DataType, Const, NumDwords >::read(), gem5::ComputeUnit::SQCPort::recvReqRetry(), gem5::ScheduleStage::reserveResources(), reserveResources(), gem5::ScheduleStage::schedRfWrites(), validateRequestCounters(), gem5::Gcn3ISA::VecOperand< DataType, Const, NumDwords >::write(), gem5::Gcn3ISA::ScalarOperand< DataType, Const, NumDwords >::write(), gem5::VegaISA::VecOperand< DataType, Const, NumDwords >::write(), and gem5::VegaISA::ScalarOperand< DataType, Const, NumDwords >::write().

◆ sleepCnt

int gem5::Wavefront::sleepCnt
private

Definition at line 327 of file wavefront.hh.

Referenced by setSleepTime(), and sleepDone().

◆ startSgprIndex

uint32_t gem5::Wavefront::startSgprIndex

Definition at line 202 of file wavefront.hh.

Referenced by init(), and Wavefront().

◆ startVgprIndex

uint32_t gem5::Wavefront::startVgprIndex

Definition at line 199 of file wavefront.hh.

Referenced by freeRegisterFile(), init(), and Wavefront().

◆ stats

gem5::Wavefront::WavefrontStats gem5::Wavefront::stats

◆ status

status_e gem5::Wavefront::status
private

◆ vecReads

std::vector<int> gem5::Wavefront::vecReads

◆ vmemInstsIssued

int gem5::Wavefront::vmemInstsIssued
private

Definition at line 324 of file wavefront.hh.

Referenced by decVMemInstsIssued(), incVMemInstsIssued(), and waitCntsSatisfied().

◆ vmWaitCnt

int gem5::Wavefront::vmWaitCnt
private

the following are used for waitcnt instructions vmWaitCnt: once set, we wait for the oustanding number of vector mem instructions to be at, or below vmWaitCnt.

expWaitCnt: once set, we wait for the outstanding number outstanding VM writes or EXP insts to be at, or below expWaitCnt.

lgkmWaitCnt: once set, we wait for the oustanding number of LDS, GDS, scalar memory, and message instructions to be at, or below lgkmCount. we currently do not support GDS/message ops.

Definition at line 321 of file wavefront.hh.

Referenced by clearWaitCnts(), setWaitCnts(), and waitCntsSatisfied().

◆ wfDynId

uint64_t gem5::Wavefront::wfDynId

◆ wfId

uint32_t gem5::Wavefront::wfId

Definition at line 167 of file wavefront.hh.

Referenced by initRegState().

◆ wfSlotId

const int gem5::Wavefront::wfSlotId

◆ wgId

uint32_t gem5::Wavefront::wgId

◆ wgSz

uint32_t gem5::Wavefront::wgSz

Definition at line 161 of file wavefront.hh.

Referenced by initRegState().

◆ workGroupId

uint32_t gem5::Wavefront::workGroupId[3]

Definition at line 157 of file wavefront.hh.

Referenced by initRegState().

◆ workGroupSz

uint32_t gem5::Wavefront::workGroupSz[3]

Definition at line 158 of file wavefront.hh.

Referenced by computeActualWgSz().

◆ workItemFlatId

std::vector<uint32_t> gem5::Wavefront::workItemFlatId

Definition at line 155 of file wavefront.hh.

Referenced by Wavefront().

◆ workItemId

std::vector<uint32_t> gem5::Wavefront::workItemId[3]

Definition at line 154 of file wavefront.hh.

Referenced by initRegState(), and Wavefront().

◆ wrGmReqsInPipe

int gem5::Wavefront::wrGmReqsInPipe

◆ wrLmReqsInPipe

int gem5::Wavefront::wrLmReqsInPipe

The documentation for this class was generated from the following files:

Generated on Wed Dec 21 2022 10:23:25 for gem5 by doxygen 1.9.1