gem5 v24.0.0.0
|
Typedefs | |
typedef sc_in< bool > | sc_in_clk |
typedef sc_inout< bool > | sc_inout_clk |
typedef sc_out< bool > | sc_out_clk |
typedef sc_port< sc_event_queue_if, 1, SC_ONE_OR_MORE_BOUND > | sc_event_queue_port |
template<class T > | |
using | sc_signal_out_if = sc_signal_inout_if<T> |
typedef sc_process_b * | sc_thread_handle |
typedef sc_module | sc_behavior |
typedef sc_module | sc_channel |
typedef std::vector< sc_trace_params * > | sc_trace_params_vec |
typedef const sc_curr_proc_info * | sc_curr_proc_handle |
typedef std::exception | sc_exception |
typedef unsigned | sc_actions |
typedef void(* | sc_report_handler_proc) (const sc_report &, const sc_actions &) |
Functions | |
sc_event_and_expr | operator& (sc_event_and_expr expr, sc_event const &e) |
sc_event_and_expr | operator& (sc_event_and_expr expr, sc_event_and_list const &eal) |
sc_event_or_expr | operator| (sc_event_or_expr expr, sc_event const &e) |
sc_event_or_expr | operator| (sc_event_or_expr expr, sc_event_or_list const &eol) |
const std::vector< sc_event * > & | sc_get_top_level_events () |
sc_event * | sc_find_event (const char *name) |
int | sc_argc () |
const char *const * | sc_argv () |
void | sc_start () |
void | sc_pause () |
void | sc_start (const sc_time &time, sc_starvation_policy p) |
void | sc_set_stop_mode (sc_stop_mode mode) |
sc_stop_mode | sc_get_stop_mode () |
void | sc_stop () |
const sc_time & | sc_time_stamp () |
sc_dt::uint64 | sc_delta_count () |
bool | sc_is_running () |
bool | sc_pending_activity_at_current_time () |
bool | sc_pending_activity_at_future_time () |
bool | sc_pending_activity () |
sc_time | sc_time_to_pending_activity () |
sc_status | sc_get_status () |
std::ostream & | operator<< (std::ostream &os, sc_status s) |
void | next_trigger () |
void | next_trigger (const sc_event &e) |
void | next_trigger (const sc_event_or_list &eol) |
void | next_trigger (const sc_event_and_list &eal) |
void | next_trigger (const sc_time &t) |
void | next_trigger (double d, sc_time_unit u) |
void | next_trigger (const sc_time &t, const sc_event &e) |
void | next_trigger (double d, sc_time_unit u, const sc_event &e) |
void | next_trigger (const sc_time &t, const sc_event_or_list &eol) |
void | next_trigger (double d, sc_time_unit u, const sc_event_or_list &eol) |
void | next_trigger (const sc_time &t, const sc_event_and_list &eal) |
void | next_trigger (double d, sc_time_unit u, const sc_event_and_list &eal) |
bool | timed_out () |
void | wait () |
void | wait (int n) |
void | wait (const sc_event &e) |
void | wait (const sc_event_or_list &eol) |
void | wait (const sc_event_and_list &eal) |
void | wait (const sc_time &t) |
void | wait (double d, sc_time_unit u) |
void | wait (const sc_time &t, const sc_event &e) |
void | wait (double d, sc_time_unit u, const sc_event &e) |
void | wait (const sc_time &t, const sc_event_or_list &eol) |
void | wait (double d, sc_time_unit u, const sc_event_or_list &eol) |
void | wait (const sc_time &t, const sc_event_and_list &eal) |
void | wait (double d, sc_time_unit u, const sc_event_and_list &eal) |
void | halt () |
void | at_posedge (const sc_signal_in_if< bool > &s) |
void | at_posedge (const sc_signal_in_if< sc_dt::sc_logic > &s) |
void | at_negedge (const sc_signal_in_if< bool > &s) |
void | at_negedge (const sc_signal_in_if< sc_dt::sc_logic > &s) |
const char * | sc_gen_unique_name (const char *seed) |
bool | sc_hierarchical_name_exists (const char *name) |
bool | sc_start_of_simulation_invoked () |
bool | sc_end_of_simulation_invoked () |
sc_module * | sc_module_sc_new (sc_module *mod) |
const std::vector< sc_object * > & | sc_get_top_level_objects () |
sc_object * | sc_find_object (const char *name) |
void | sc_set_location (const char *file, int lineno) |
sc_process_b * | sc_get_curr_process_handle () |
sc_process_handle | sc_get_current_process_handle () |
bool | sc_is_unwinding () |
sc_simcontext * | sc_get_curr_simcontext () |
const sc_time | operator+ (const sc_time &a, const sc_time &b) |
const sc_time | operator- (const sc_time &a, const sc_time &b) |
const sc_time | operator* (const sc_time &t, double d) |
const sc_time | operator* (double d, const sc_time &t) |
const sc_time | operator/ (const sc_time &t, double d) |
double | operator/ (const sc_time &t1, const sc_time &t2) |
std::ostream & | operator<< (std::ostream &os, const sc_time &t) |
void | sc_set_time_resolution (double d, sc_time_unit tu) |
sc_time | sc_get_time_resolution () |
const sc_time & | sc_max_time () |
void | sc_set_default_time_unit (double d, sc_time_unit tu) |
sc_time | sc_get_default_time_unit () |
template<class T > | |
std::ostream & | operator<< (std::ostream &os, const sc_fifo< T > &f) |
template<class T > | |
void | sc_trace (sc_trace_file *tf, const sc_in< T > &i, const std::string &name) |
template<> | |
void | sc_trace< bool > (sc_trace_file *tf, const sc_in< bool > &i, const std::string &name) |
template<> | |
void | sc_trace< sc_dt::sc_logic > (sc_trace_file *tf, const sc_in< sc_dt::sc_logic > &i, const std::string &name) |
template<class T > | |
void | sc_trace (sc_trace_file *tf, const sc_inout< T > &i, const std::string &name) |
template<> | |
void | sc_trace< bool > (sc_trace_file *tf, const sc_inout< bool > &i, const std::string &name) |
template<> | |
void | sc_trace< sc_dt::sc_logic > (sc_trace_file *tf, const sc_inout< sc_dt::sc_logic > &i, const std::string &name) |
template<class T , sc_writer_policy WRITER_POLICY> | |
std::ostream & | operator<< (std::ostream &os, const sc_signal< T, WRITER_POLICY > &s) |
static void | sc_start (double d, sc_time_unit t, sc_starvation_policy p=SC_RUN_TO_TIME) |
static sc_process_b * | sc_get_current_process_b () |
template<typename T > | |
sc_process_handle | sc_spawn (T object, const char *name_p=nullptr, const sc_spawn_options *opt_p=nullptr) |
template<typename T > | |
sc_process_handle | sc_spawn (typename T::result_type *r_p, T object, const char *name_p=nullptr, const sc_spawn_options *opt_p=nullptr) |
template<typename F , typename... Args> | |
auto | sc_bind (F &&f, Args &&...args) -> decltype(std::bind(std::forward< F >(f), std::forward< Args >(args)...)) |
template<typename T > | |
auto | sc_ref (T &&v) -> decltype(std::ref(std::forward< T >(v))) |
template<typename T > | |
auto | sc_cref (T &&v) -> decltype(std::cref(std::forward< T >(v))) |
static const char * | sc_release () |
static const char * | sc_copyright () |
const char * | sc_version () |
void | sc_abort () |
void | sc_interrupt_here (const char *msg_type, sc_severity) |
void | sc_stop_here (const char *msg_type, sc_severity) |
const std::string | sc_report_compose_message (const sc_report &) |
bool | sc_report_close_default_log () |
sc_trace_file * | sc_create_vcd_trace_file (const char *name) |
void | sc_close_vcd_trace_file (sc_trace_file *tf) |
void | sc_write_comment (sc_trace_file *tf, const std::string &comment) |
void | sc_trace (sc_trace_file *, const bool &, const std::string &) |
void | sc_trace (sc_trace_file *, const bool *, const std::string &) |
void | sc_trace (sc_trace_file *, const float &, const std::string &) |
void | sc_trace (sc_trace_file *, const float *, const std::string &) |
void | sc_trace (sc_trace_file *, const double &, const std::string &) |
void | sc_trace (sc_trace_file *, const double *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_logic &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_logic *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_int_base &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_int_base *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_uint_base &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_uint_base *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_signed &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_signed *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_unsigned &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_unsigned *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_bv_base &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_bv_base *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_lv_base &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_lv_base *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxval &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxval *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxval_fast &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxval_fast *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxnum &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxnum *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxnum_fast &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_dt::sc_fxnum_fast *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_event &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_event *, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_time &, const std::string &) |
void | sc_trace (sc_trace_file *, const sc_time *, const std::string &) |
void | sc_trace (sc_trace_file *, const unsigned char &, const std::string &, int width=(8 *sizeof(unsigned char))) |
void | sc_trace (sc_trace_file *, const unsigned char *, const std::string &, int width=(8 *sizeof(unsigned char))) |
void | sc_trace (sc_trace_file *, const unsigned short &, const std::string &, int width=(8 *sizeof(unsigned short))) |
void | sc_trace (sc_trace_file *, const unsigned short *, const std::string &, int width=(8 *sizeof(unsigned short))) |
void | sc_trace (sc_trace_file *, const unsigned int &, const std::string &, int width=(8 *sizeof(unsigned int))) |
void | sc_trace (sc_trace_file *, const unsigned int *, const std::string &, int width=(8 *sizeof(unsigned int))) |
void | sc_trace (sc_trace_file *, const unsigned long &, const std::string &, int width=(8 *sizeof(unsigned long))) |
void | sc_trace (sc_trace_file *, const unsigned long *, const std::string &, int width=(8 *sizeof(unsigned long))) |
void | sc_trace (sc_trace_file *, const char &, const std::string &, int width=(8 *sizeof(char))) |
void | sc_trace (sc_trace_file *, const char *, const std::string &, int width=(8 *sizeof(char))) |
void | sc_trace (sc_trace_file *, const short &, const std::string &, int width=(8 *sizeof(short))) |
void | sc_trace (sc_trace_file *, const short *, const std::string &, int width=(8 *sizeof(short))) |
void | sc_trace (sc_trace_file *, const int &, const std::string &, int width=(8 *sizeof(int))) |
void | sc_trace (sc_trace_file *, const int *, const std::string &, int width=(8 *sizeof(int))) |
void | sc_trace (sc_trace_file *, const long &, const std::string &, int width=(8 *sizeof(long))) |
void | sc_trace (sc_trace_file *, const long *, const std::string &, int width=(8 *sizeof(long))) |
void | sc_trace (sc_trace_file *, const sc_dt::int64 &, const std::string &, int width=(8 *sizeof(sc_dt::int64))) |
void | sc_trace (sc_trace_file *, const sc_dt::int64 *, const std::string &, int width=(8 *sizeof(sc_dt::int64))) |
void | sc_trace (sc_trace_file *, const sc_dt::uint64 &, const std::string &, int width=(8 *sizeof(sc_dt::uint64))) |
void | sc_trace (sc_trace_file *, const sc_dt::uint64 *, const std::string &, int width=(8 *sizeof(sc_dt::uint64))) |
void | sc_trace (sc_trace_file *, const unsigned int &, const std::string &, const char **enum_literals) |
void | sc_trace_delta_cycles (sc_trace_file *, bool on=true) |
template<class T > | |
void | sc_trace (sc_trace_file *tf, const sc_signal_in_if< T > &iface, const std::string &name) |
void | sc_trace (sc_trace_file *, const sc_signal_in_if< char > &, const std::string &, int width) |
void | sc_trace (sc_trace_file *, const sc_signal_in_if< short > &, const std::string &, int width) |
void | sc_trace (sc_trace_file *, const sc_signal_in_if< int > &, const std::string &, int width) |
void | sc_trace (sc_trace_file *, const sc_signal_in_if< long > &, const std::string &, int width) |
template<typename T , typename MT > | |
sc_vector_assembly< T, MT > | sc_assemble_vector (sc_vector< T > &, MT(T::*member_ptr)) |
template<typename T , typename MT > | |
sc_vector_assembly< T, MT > | sc_assemble_vector (sc_vector< T > &v, MT(T::*ptr)) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_in< sc_dt::sc_int< W > > &a) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_inout< sc_dt::sc_int< W > > &a) |
void | sc_signal_invalid_writer (const char *name, const char *kind, const char *first_writer, const char *second_writer) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_in< sc_dt::sc_bigint< W > > &a) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_inout< sc_dt::sc_bigint< W > > &a) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_in< sc_dt::sc_uint< W > > &a) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_inout< sc_dt::sc_uint< W > > &a) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_in< sc_dt::sc_biguint< W > > &a) |
SC_TEMPLATE std::ostream & | operator<< (std::ostream &os, const sc_inout< sc_dt::sc_biguint< W > > &a) |
typedef unsigned sc_core::sc_actions |
Definition at line 39 of file sc_report_handler.hh.
typedef sc_module sc_core::sc_behavior |
Definition at line 349 of file sc_module.hh.
typedef sc_module sc_core::sc_channel |
Definition at line 350 of file sc_module.hh.
typedef const sc_curr_proc_info* sc_core::sc_curr_proc_handle |
Definition at line 147 of file sc_process_handle.hh.
Definition at line 80 of file sc_event_queue.hh.
typedef std::exception sc_core::sc_exception |
Definition at line 36 of file sc_exception.hh.
typedef sc_in<bool> sc_core::sc_in_clk |
Definition at line 116 of file sc_clock.hh.
typedef sc_inout<bool> sc_core::sc_inout_clk |
Definition at line 119 of file sc_clock.hh.
typedef sc_out<bool> sc_core::sc_out_clk |
Definition at line 120 of file sc_clock.hh.
typedef void(* sc_core::sc_report_handler_proc) (const sc_report &, const sc_actions &) |
Definition at line 62 of file sc_report_handler.hh.
using sc_core::sc_signal_out_if = sc_signal_inout_if<T> |
Definition at line 88 of file sc_signal_inout_if.hh.
typedef sc_process_b* sc_core::sc_thread_handle |
Definition at line 38 of file sc_join.hh.
Definition at line 65 of file sc_port.hh.
anonymous enum |
Definition at line 41 of file sc_report_handler.hh.
Enumerator | |
---|---|
SC_NO_PROC_ | |
SC_METHOD_PROC_ | |
SC_THREAD_PROC_ | |
SC_CTHREAD_PROC_ |
Definition at line 84 of file sc_process_handle.hh.
Enumerator | |
---|---|
SC_NO_DESCENDANTS | |
SC_INCLUDE_DESCENDANTS |
Definition at line 92 of file sc_process_handle.hh.
Enumerator | |
---|---|
SC_ONE_OR_MORE_BOUND | |
SC_ZERO_OR_MORE_BOUND | |
SC_ALL_BOUND |
Definition at line 67 of file sc_port.hh.
enum sc_core::sc_severity |
Enumerator | |
---|---|
SC_INFO | |
SC_WARNING | |
SC_ERROR | |
SC_FATAL | |
SC_MAX_SEVERITY |
Definition at line 39 of file sc_report.hh.
Enumerator | |
---|---|
SC_RUN_TO_TIME | |
SC_EXIT_ON_STARVATION |
Definition at line 46 of file sc_main.hh.
enum sc_core::sc_status |
Definition at line 81 of file sc_main.hh.
Enumerator | |
---|---|
SC_STOP_FINISH_DELTA | |
SC_STOP_IMMEDIATE |
Definition at line 62 of file sc_main.hh.
Enumerator | |
---|---|
SC_FS | |
SC_PS | |
SC_NS | |
SC_US | |
SC_MS | |
SC_SEC |
Definition at line 40 of file sc_time.hh.
Enumerator | |
---|---|
SC_NONE | |
SC_LOW | |
SC_MEDIUM | |
SC_HIGH | |
SC_FULL | |
SC_DEBUG |
Definition at line 48 of file sc_report.hh.
Enumerator | |
---|---|
SC_ONE_WRITER | |
SC_MANY_WRITERS |
Definition at line 37 of file sc_signal_inout_if.hh.
void sc_core::at_negedge | ( | const sc_signal_in_if< bool > & | s | ) |
Definition at line 802 of file sc_module.cc.
References wait().
Referenced by sc_core::sc_module::at_negedge(), and sc_core::sc_module::at_negedge().
void sc_core::at_negedge | ( | const sc_signal_in_if< sc_dt::sc_logic > & | s | ) |
Definition at line 811 of file sc_module.cc.
References sc_dt::Log_0, sc_dt::Log_1, and wait().
void sc_core::at_posedge | ( | const sc_signal_in_if< bool > & | s | ) |
Definition at line 784 of file sc_module.cc.
References wait().
Referenced by sc_core::sc_module::at_posedge(), and sc_core::sc_module::at_posedge().
void sc_core::at_posedge | ( | const sc_signal_in_if< sc_dt::sc_logic > & | s | ) |
Definition at line 793 of file sc_module.cc.
References sc_dt::Log_0, sc_dt::Log_1, and wait().
void sc_core::halt | ( | ) |
Definition at line 777 of file sc_module.cc.
References wait().
Referenced by sc_core::sc_module::halt().
void sc_core::next_trigger | ( | ) |
Definition at line 538 of file sc_module.cc.
References sc_gem5::Scheduler::current(), and sc_gem5::scheduler.
Referenced by next_trigger(), next_trigger(), next_trigger(), next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_module::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), sc_core::sc_prim_channel::next_trigger(), and sc_core::sc_prim_channel::next_trigger().
void sc_core::next_trigger | ( | const sc_event & | e | ) |
Definition at line 546 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEvent(), and sc_gem5::scheduler.
void sc_core::next_trigger | ( | const sc_event_and_list & | eal | ) |
Definition at line 562 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventAndList(), and sc_gem5::scheduler.
void sc_core::next_trigger | ( | const sc_event_or_list & | eol | ) |
Definition at line 554 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventOrList(), and sc_gem5::scheduler.
void sc_core::next_trigger | ( | const sc_time & | t | ) |
Definition at line 570 of file sc_module.cc.
References sc_gem5::Scheduler::current(), and sc_gem5::scheduler.
Definition at line 584 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEvent(), and sc_gem5::scheduler.
void sc_core::next_trigger | ( | const sc_time & | t, |
const sc_event_and_list & | eal ) |
Definition at line 612 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventAndList(), and sc_gem5::scheduler.
void sc_core::next_trigger | ( | const sc_time & | t, |
const sc_event_or_list & | eol ) |
Definition at line 598 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventOrList(), and sc_gem5::scheduler.
void sc_core::next_trigger | ( | double | d, |
sc_time_unit | u ) |
Definition at line 578 of file sc_module.cc.
References next_trigger().
void sc_core::next_trigger | ( | double | d, |
sc_time_unit | u, | ||
const sc_event & | e ) |
Definition at line 592 of file sc_module.cc.
References next_trigger().
void sc_core::next_trigger | ( | double | d, |
sc_time_unit | u, | ||
const sc_event_and_list & | eal ) |
Definition at line 620 of file sc_module.cc.
References next_trigger().
void sc_core::next_trigger | ( | double | d, |
sc_time_unit | u, | ||
const sc_event_or_list & | eol ) |
Definition at line 606 of file sc_module.cc.
References next_trigger().
sc_event_and_expr sc_core::operator& | ( | sc_event_and_expr | expr, |
sc_event const & | e ) |
Definition at line 243 of file sc_event.cc.
References sc_core::sc_event_and_expr::insert().
sc_event_and_expr sc_core::operator& | ( | sc_event_and_expr | expr, |
sc_event_and_list const & | eal ) |
Definition at line 250 of file sc_event.cc.
References sc_core::sc_event_and_expr::insert().
Definition at line 257 of file sc_time.cc.
References sc_core::sc_time::from_value().
Definition at line 264 of file sc_time.cc.
References sc_core::sc_time::from_value().
Definition at line 245 of file sc_time.cc.
References a, b, and sc_core::sc_time::from_value().
Definition at line 251 of file sc_time.cc.
References a, b, and sc_core::sc_time::from_value().
Definition at line 271 of file sc_time.cc.
References sc_core::sc_time::from_value().
Definition at line 278 of file sc_time.cc.
|
inline |
Definition at line 217 of file sc_fifo.hh.
References f().
|
inline |
Definition at line 1181 of file scx_signal_signed.h.
References a.
|
inline |
Definition at line 1177 of file scx_signal_unsigned.h.
References a.
|
inline |
Definition at line 1001 of file scx_signal_int.h.
References a.
|
inline |
Definition at line 1057 of file scx_signal_uint.h.
References a.
|
inline |
Definition at line 1516 of file scx_signal_signed.h.
References a.
|
inline |
Definition at line 1513 of file scx_signal_unsigned.h.
References a.
|
inline |
Definition at line 1335 of file scx_signal_int.h.
References a.
|
inline |
Definition at line 1391 of file scx_signal_uint.h.
References a.
|
inline |
Definition at line 316 of file sc_signal.hh.
std::ostream & sc_core::operator<< | ( | std::ostream & | os, |
const sc_time & | t ) |
Definition at line 283 of file sc_time.cc.
std::ostream & sc_core::operator<< | ( | std::ostream & | os, |
sc_status | s ) |
Definition at line 177 of file sc_main.cc.
References gem5::ccprintf(), SC_BEFORE_END_OF_ELABORATION, SC_BEFORE_TIMESTEP, SC_ELABORATION, SC_END_OF_ELABORATION, SC_END_OF_INITIALIZATION, SC_END_OF_SIMULATION, SC_END_OF_UPDATE, SC_PAUSED, SC_RUNNING, SC_START_OF_SIMULATION, SC_STATUS_ANY, and SC_STOPPED.
sc_event_or_expr sc_core::operator| | ( | sc_event_or_expr | expr, |
sc_event const & | e ) |
Definition at line 294 of file sc_event.cc.
References sc_core::sc_event_or_expr::insert().
sc_event_or_expr sc_core::operator| | ( | sc_event_or_expr | expr, |
sc_event_or_list const & | eol ) |
Definition at line 301 of file sc_event.cc.
References sc_core::sc_event_or_expr::insert().
void sc_core::sc_abort | ( | ) |
Definition at line 178 of file sc_report.cc.
References panic.
Referenced by sc_dt::sc_proxy< X >::check_bounds(), sc_dt::sc_subref_r< X >::check_bounds(), sc_dt::sc_proxy< X >::check_wbounds(), sc_core::sc_vector_base::checkIndex(), sc_core::sc_report_handler::default_handler(), sc_dt::div_by_zero(), sc_dt::sc_bv_base::init(), sc_dt::sc_lv_base::init(), sc_dt::sc_int_base::invalid_index(), sc_dt::sc_signed::invalid_index(), sc_dt::sc_uint_base::invalid_index(), sc_dt::sc_unsigned::invalid_index(), sc_dt::sc_int_base::invalid_length(), sc_dt::sc_uint_base::invalid_length(), sc_dt::sc_int_base::invalid_range(), sc_dt::sc_signed::invalid_range(), sc_dt::sc_uint_base::invalid_range(), sc_dt::sc_unsigned::invalid_range(), sc_dt::sc_bit::invalid_value(), sc_dt::sc_bit::invalid_value(), sc_core::sc_port_b< IF >::operator->(), sc_core::sc_port_b< IF >::operator->(), sc_dt::print_other(), sc_dt::sc_int_concref_invalid_length(), sc_dt::sc_signed::sc_signed(), sc_dt::sc_uint_concref_invalid_length(), and sc_dt::sc_unsigned::sc_unsigned().
int sc_core::sc_argc | ( | ) |
Definition at line 48 of file sc_main.cc.
const char *const * sc_core::sc_argv | ( | ) |
Definition at line 54 of file sc_main.cc.
sc_vector_assembly< T, MT > sc_core::sc_assemble_vector | ( | sc_vector< T > & | , |
MTT::* | member_ptr ) |
sc_vector_assembly< T, MT > sc_core::sc_assemble_vector | ( | sc_vector< T > & | v, |
MT T::* | ptr ) |
Definition at line 777 of file sc_vector.hh.
auto sc_core::sc_bind | ( | F && | f, |
Args &&... | args ) -> decltype(std::bind(std::forward<F>(f), std::forward<Args>(args)...)) |
Definition at line 198 of file sc_spawn.hh.
References f().
void sc_core::sc_close_vcd_trace_file | ( | sc_trace_file * | tf | ) |
Definition at line 58 of file sc_trace_file.cc.
|
inlinestatic |
Definition at line 94 of file functions.hh.
sc_trace_file * sc_core::sc_create_vcd_trace_file | ( | const char * | name | ) |
Definition at line 52 of file sc_trace_file.cc.
References name().
auto sc_core::sc_cref | ( | T && | v | ) | -> decltype(std::cref(std::forward<T>(v))) |
Definition at line 211 of file sc_spawn.hh.
sc_dt::uint64 sc_core::sc_delta_count | ( | ) |
Definition at line 135 of file sc_main.cc.
References sc_gem5::Scheduler::numCycles(), and sc_gem5::scheduler.
Referenced by sc_core::sc_simcontext::delta_count(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::fec(), tlm_utils::time_ordered_list< PAYLOAD >::insert(), and tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::notify().
bool sc_core::sc_end_of_simulation_invoked | ( | ) |
Definition at line 852 of file sc_module.cc.
sc_event * sc_core::sc_find_event | ( | const char * | name | ) |
Definition at line 409 of file sc_event.cc.
References sc_gem5::allEvents, sc_gem5::findEvent(), and name().
sc_object * sc_core::sc_find_object | ( | const char * | name | ) |
Definition at line 167 of file sc_object.cc.
References sc_gem5::findObject(), and name().
const char * sc_core::sc_gen_unique_name | ( | const char * | seed | ) |
Definition at line 820 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::pickParentModule(), SC_REPORT_ERROR, and sc_gem5::scheduler.
Referenced by tlm_utils::multi_passthrough_initiator_socket< MODULE, BUSWIDTH, TYPES, N, POL >::default_name(), tlm_utils::multi_passthrough_target_socket< MODULE, BUSWIDTH, TYPES, N, POL >::default_name(), tlm_utils::passthrough_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::default_name(), tlm_utils::passthrough_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::default_name(), tlm_utils::simple_initiator_socket_b< MODULE, BUSWIDTH, TYPES, POL >::default_name(), tlm_utils::simple_initiator_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::default_name(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::default_name(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::default_name(), sc_core::sc_vector< T >::init(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb_transport_fw(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb_transport_fw(), sc_gem5::Object::Object(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::peq_with_cb_and_phase(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::peq_with_cb_and_phase(), sc_gem5::Object::pickUniqueName(), sc_gem5::pickUniqueName(), sc_gem5::spawnWork(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::start_of_simulation(), and tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::start_of_simulation().
sc_process_b * sc_core::sc_get_curr_process_handle | ( | ) |
Definition at line 69 of file sc_process_handle.cc.
Referenced by sc_core::sc_signal< sc_dt::sc_int< W > >::check_writer(), and sc_get_current_process_b().
sc_simcontext * sc_core::sc_get_curr_simcontext | ( | ) |
Definition at line 85 of file sc_simcontext.cc.
Referenced by tlm_utils::simple_socket_base::elaboration_check(), and sc_gem5::Object::simcontext().
|
inlinestatic |
Definition at line 135 of file sc_process_handle.hh.
References sc_get_curr_process_handle().
Referenced by sc_core::sc_signal< sc_dt::sc_bigint< W > >::check_writer(), sc_core::sc_signal< sc_dt::sc_biguint< W > >::check_writer(), and sc_core::sc_signal< sc_dt::sc_uint< W > >::check_writer().
sc_process_handle sc_core::sc_get_current_process_handle | ( | ) |
Definition at line 312 of file sc_process_handle.cc.
References sc_gem5::Process::newest(), sc_is_running(), and sc_gem5::scheduler.
Referenced by sc_is_unwinding(), and sc_dt::sc_global< T >::update().
sc_time sc_core::sc_get_default_time_unit | ( | ) |
Definition at line 390 of file sc_time.cc.
sc_status sc_core::sc_get_status | ( | ) |
Definition at line 172 of file sc_main.cc.
References sc_gem5::kernel, SC_ELABORATION, and sc_gem5::Kernel::status().
Referenced by sc_gem5::Process::kill(), sc_gem5::Process::reset(), sc_is_running(), sc_stop(), sc_trace(), sc_trace(), sc_trace< bool >(), sc_trace< bool >(), sc_trace< sc_dt::sc_logic >(), sc_trace< sc_dt::sc_logic >(), and sc_gem5::Process::throw_it().
sc_stop_mode sc_core::sc_get_stop_mode | ( | ) |
Definition at line 97 of file sc_main.cc.
sc_time sc_core::sc_get_time_resolution | ( | ) |
Definition at line 339 of file sc_time.cc.
References sc_core::sc_time::from_value().
Referenced by sc_gem5::TraceFile::finalizeTime().
const std::vector< sc_event * > & sc_core::sc_get_top_level_events | ( | ) |
Definition at line 403 of file sc_event.cc.
const std::vector< sc_object * > & sc_core::sc_get_top_level_objects | ( | ) |
Definition at line 161 of file sc_object.cc.
References sc_gem5::topLevelObjects.
Referenced by gem5::memory::DRAMSys::serialize(), and gem5::memory::DRAMSys::unserialize().
bool sc_core::sc_hierarchical_name_exists | ( | const char * | name | ) |
Definition at line 839 of file sc_module.cc.
References sc_gem5::allEvents, sc_gem5::allObjects, sc_gem5::findEvent(), sc_gem5::findObject(), and name().
void sc_core::sc_interrupt_here | ( | const char * | msg_type, |
sc_severity | ) |
Definition at line 362 of file sc_report_handler.cc.
Referenced by sc_core::sc_report_handler::default_handler().
bool sc_core::sc_is_running | ( | ) |
Definition at line 141 of file sc_main.cc.
References sc_get_status(), and SC_PAUSED.
Referenced by sc_gem5::newCThreadProcess(), sc_gem5::newMethodProcess(), sc_gem5::newThreadProcess(), sc_core::sc_export_base::sc_export_base(), sc_get_current_process_handle(), sc_core::sc_module::sc_module(), sc_core::sc_module_name::sc_module_name(), sc_core::sc_port_base::sc_port_base(), sc_core::sc_prim_channel::sc_prim_channel(), sc_core::sc_prim_channel::sc_prim_channel(), sc_report_compose_message(), sc_set_default_time_unit(), sc_set_stop_mode(), and sc_set_time_resolution().
bool sc_core::sc_is_unwinding | ( | ) |
Definition at line 321 of file sc_process_handle.cc.
References sc_core::sc_process_handle::is_unwinding(), and sc_get_current_process_handle().
const sc_time & sc_core::sc_max_time | ( | ) |
Definition at line 345 of file sc_time.cc.
References sc_core::sc_time::from_value(), and gem5::MaxTick.
Definition at line 858 of file sc_module.cc.
void sc_core::sc_pause | ( | ) |
Definition at line 67 of file sc_main.cc.
References sc_gem5::Scheduler::schedulePause(), sc_gem5::scheduler, and sc_gem5::Kernel::status().
bool sc_core::sc_pending_activity | ( | ) |
Definition at line 159 of file sc_main.cc.
References sc_pending_activity_at_current_time(), and sc_pending_activity_at_future_time().
bool sc_core::sc_pending_activity_at_current_time | ( | ) |
Definition at line 147 of file sc_main.cc.
Referenced by sc_pending_activity().
bool sc_core::sc_pending_activity_at_future_time | ( | ) |
Definition at line 153 of file sc_main.cc.
Referenced by sc_pending_activity().
auto sc_core::sc_ref | ( | T && | v | ) | -> decltype(std::ref(std::forward<T>(v))) |
Definition at line 205 of file sc_spawn.hh.
|
inlinestatic |
Definition at line 89 of file functions.hh.
bool sc_core::sc_report_close_default_log | ( | ) |
Definition at line 407 of file sc_report_handler.cc.
const std::string sc_core::sc_report_compose_message | ( | const sc_report & | report | ) |
Definition at line 374 of file sc_report_handler.cc.
References gem5::ccprintf(), sc_gem5::Scheduler::current(), sc_core::sc_report::get_file_name(), sc_core::sc_report::get_id(), sc_core::sc_report::get_line_number(), sc_core::sc_report::get_msg(), sc_core::sc_report::get_msg_type(), sc_core::sc_report::get_process_name(), sc_core::sc_report::get_severity(), sc_core::sc_report::get_time(), name(), sc_gem5::reportSeverityNames, sc_is_running(), sc_gem5::scheduler, and sc_core::sc_time::to_string().
Referenced by sc_core::sc_report_handler::default_handler(), and sc_core::sc_report::sc_report().
void sc_core::sc_set_default_time_unit | ( | double | d, |
sc_time_unit | tu ) |
Definition at line 352 of file sc_time.cc.
References gem5::clockFrequencyFixed(), gem5::sim_clock::as_float::Hz, sc_is_running(), SC_PS, SC_REPORT_ERROR, and sc_gem5::TimeUnitScale.
void sc_core::sc_set_location | ( | const char * | file, |
int | lineno ) |
Definition at line 58 of file sc_process_handle.cc.
References sc_gem5::Scheduler::current(), sc_core::sc_process_b::file, sc_core::sc_process_b::lineno, and sc_gem5::scheduler.
void sc_core::sc_set_stop_mode | ( | sc_stop_mode | mode | ) |
Definition at line 87 of file sc_main.cc.
References sc_is_running(), and SC_REPORT_ERROR.
void sc_core::sc_set_time_resolution | ( | double | d, |
sc_time_unit | tu ) |
Definition at line 293 of file sc_time.cc.
References gem5::clockFrequencyFixed(), sc_is_running(), SC_REPORT_ERROR, SC_REPORT_WARNING, gem5::setClockFrequency(), sc_gem5::TimeUnitFrequency, and sc_gem5::TimeUnitScale.
|
extern |
Referenced by sc_core::sc_signal< sc_dt::sc_bigint< W > >::check_writer(), sc_core::sc_signal< sc_dt::sc_biguint< W > >::check_writer(), sc_core::sc_signal< sc_dt::sc_int< W > >::check_writer(), sc_core::sc_signal< sc_dt::sc_uint< W > >::check_writer(), sc_core::sc_signal< sc_dt::sc_bigint< W > >::register_port(), sc_core::sc_signal< sc_dt::sc_biguint< W > >::register_port(), sc_core::sc_signal< sc_dt::sc_int< W > >::register_port(), and sc_core::sc_signal< sc_dt::sc_uint< W > >::register_port().
sc_process_handle sc_core::sc_spawn | ( | T | object, |
const char * | name_p = nullptr, | ||
const sc_spawn_options * | opt_p = nullptr ) |
Definition at line 154 of file sc_spawn.hh.
Referenced by tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb_transport_fw(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb_transport_fw(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::peq_with_cb_and_phase(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::peq_with_cb_and_phase(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::start_of_simulation(), and tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::start_of_simulation().
sc_process_handle sc_core::sc_spawn | ( | typename T::result_type * | r_p, |
T | object, | ||
const char * | name_p = nullptr, | ||
const sc_spawn_options * | opt_p = nullptr ) |
Definition at line 164 of file sc_spawn.hh.
void sc_core::sc_start | ( | ) |
Definition at line 60 of file sc_main.cc.
References sc_core::sc_time::from_value(), sc_gem5::Scheduler::getCurTick(), gem5::MaxTick, sc_start(), and sc_gem5::scheduler.
Referenced by sc_start(), and sc_start().
void sc_core::sc_start | ( | const sc_time & | time, |
sc_starvation_policy | p ) |
Definition at line 74 of file sc_main.cc.
References sc_gem5::Scheduler::getCurTick(), gem5::MaxTick, sc_gem5::Scheduler::oneCycle(), SC_REPORT_ERROR, sc_gem5::scheduler, sc_gem5::Scheduler::start(), and sc_core::sc_time::value().
|
inlinestatic |
Definition at line 55 of file sc_main.hh.
References sc_start().
bool sc_core::sc_start_of_simulation_invoked | ( | ) |
Definition at line 846 of file sc_module.cc.
void sc_core::sc_stop | ( | ) |
Definition at line 103 of file sc_main.cc.
References sc_get_status(), SC_REPORT_WARNING, SC_STOP_FINISH_DELTA, sc_gem5::scheduler, sc_gem5::Scheduler::scheduleStop(), sc_gem5::Kernel::status(), and sc_gem5::Kernel::stop().
Referenced by sc_core::sc_report_handler::default_handler().
void sc_core::sc_stop_here | ( | const char * | msg_type, |
sc_severity | ) |
Definition at line 368 of file sc_report_handler.cc.
Referenced by sc_core::sc_report_handler::default_handler().
const sc_time & sc_core::sc_time_stamp | ( | ) |
Definition at line 127 of file sc_main.cc.
References sc_core::sc_time::from_value(), and sc_gem5::scheduler.
Referenced by SimpleLTTarget1::b_transport(), tlm::tlm_global_quantum::compute_local_quantum(), MultiSocketSimpleSwitchAT::dump_status(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::fec(), tlm_utils::tlm_quantumkeeper::get_current_time(), tlm_utils::peq_with_get< PAYLOAD >::get_next_transaction(), SimpleLTInitiator1_dmi::invalidate_direct_mem_ptr(), SimpleLTInitiator_ext::invalidate_direct_mem_ptr(), CoreDecouplingLTInitiator::logEndTransaction(), SimpleATInitiator1::logEndTransaction(), SimpleATInitiator2::logEndTransaction(), SimpleLTInitiator1::logEndTransaction(), SimpleLTInitiator1_dmi::logEndTransaction(), SimpleLTInitiator2::logEndTransaction(), SimpleLTInitiator2_dmi::logEndTransaction(), SimpleLTInitiator3::logEndTransaction(), SimpleLTInitiator3_dmi::logEndTransaction(), SimpleLTInitiator_ext::logEndTransaction(), CoreDecouplingLTInitiator::logStartTransation(), SimpleATInitiator1::logStartTransation(), SimpleATInitiator2::logStartTransation(), SimpleLTInitiator1::logStartTransation(), SimpleLTInitiator1_dmi::logStartTransation(), SimpleLTInitiator2::logStartTransation(), SimpleLTInitiator2_dmi::logStartTransation(), SimpleLTInitiator3::logStartTransation(), SimpleLTInitiator3_dmi::logStartTransation(), SimpleLTInitiator_ext::logStartTransation(), ExplicitLTTarget::myBTransport(), SimpleLTTarget2::myBTransport(), ExplicitATTarget::myNBTransport(), SimpleATTarget1::myNBTransport(), SimpleATTarget2::myNBTransport(), SimpleLTTarget_ext::myNBTransport(), tlm_utils::tlm_quantumkeeper::need_sync(), sc_core::sc_event_queue::notify(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::notify(), tlm_utils::peq_with_get< PAYLOAD >::notify(), tlm_utils::peq_with_get< PAYLOAD >::notify(), tlm_utils::tlm_quantumkeeper::reset(), and sc_core::sc_clock::time_stamp().
sc_time sc_core::sc_time_to_pending_activity | ( | ) |
Definition at line 166 of file sc_main.cc.
References sc_core::sc_time::from_value(), and sc_gem5::scheduler.
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const bool & | v, | ||
const std::string & | name ) |
Definition at line 70 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const bool * | v, | ||
const std::string & | name ) |
Definition at line 76 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const char & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(char)) ) |
Definition at line 334 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const char * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(char)) ) |
Definition at line 340 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const double & | v, | ||
const std::string & | name ) |
Definition at line 94 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const double * | v, | ||
const std::string & | name ) |
Definition at line 100 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const float & | v, | ||
const std::string & | name ) |
Definition at line 82 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const float * | v, | ||
const std::string & | name ) |
Definition at line 88 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const int & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(int)) ) |
Definition at line 360 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const int * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(int)) ) |
Definition at line 366 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const long & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(long)) ) |
Definition at line 372 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const long * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(long)) ) |
Definition at line 378 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::int64 & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(sc_dt::int64)) ) |
Definition at line 384 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::int64 * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(sc_dt::int64)) ) |
Definition at line 391 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_bv_base & | v, | ||
const std::string & | name ) |
Definition at line 174 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_bv_base * | v, | ||
const std::string & | name ) |
Definition at line 181 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxnum & | v, | ||
const std::string & | name ) |
Definition at line 228 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxnum * | v, | ||
const std::string & | name ) |
Definition at line 234 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxnum_fast & | v, | ||
const std::string & | name ) |
Definition at line 240 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxnum_fast * | v, | ||
const std::string & | name ) |
Definition at line 247 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxval & | v, | ||
const std::string & | name ) |
Definition at line 202 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxval * | v, | ||
const std::string & | name ) |
Definition at line 208 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxval_fast & | v, | ||
const std::string & | name ) |
Definition at line 214 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_fxval_fast * | v, | ||
const std::string & | name ) |
Definition at line 221 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_int_base & | v, | ||
const std::string & | name ) |
Definition at line 118 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_int_base * | v, | ||
const std::string & | name ) |
Definition at line 125 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_logic & | v, | ||
const std::string & | name ) |
Definition at line 106 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_logic * | v, | ||
const std::string & | name ) |
Definition at line 112 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_lv_base & | v, | ||
const std::string & | name ) |
Definition at line 188 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_lv_base * | v, | ||
const std::string & | name ) |
Definition at line 195 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_signed & | v, | ||
const std::string & | name ) |
Definition at line 146 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_signed * | v, | ||
const std::string & | name ) |
Definition at line 153 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_uint_base & | v, | ||
const std::string & | name ) |
Definition at line 132 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_uint_base * | v, | ||
const std::string & | name ) |
Definition at line 139 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_unsigned & | v, | ||
const std::string & | name ) |
Definition at line 160 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::sc_unsigned * | v, | ||
const std::string & | name ) |
Definition at line 167 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::uint64 & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(sc_dt::uint64)) ) |
Definition at line 398 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_dt::uint64 * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(sc_dt::uint64)) ) |
Definition at line 405 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_event & | v, | ||
const std::string & | name ) |
Definition at line 254 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_event * | v, | ||
const std::string & | name ) |
Definition at line 260 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_signal_in_if< char > & | v, | ||
const std::string & | name, | ||
int | width ) |
Definition at line 412 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_signal_in_if< int > & | v, | ||
const std::string & | name, | ||
int | width ) |
Definition at line 428 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_signal_in_if< long > & | v, | ||
const std::string & | name, | ||
int | width ) |
Definition at line 436 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_signal_in_if< short > & | v, | ||
const std::string & | name, | ||
int | width ) |
Definition at line 420 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_time & | v, | ||
const std::string & | name ) |
Definition at line 266 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_time * | v, | ||
const std::string & | name ) |
Definition at line 272 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const short & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(short)) ) |
Definition at line 346 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const short * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(short)) ) |
Definition at line 353 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned char & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned char)) ) |
Definition at line 278 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned char * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned char)) ) |
Definition at line 285 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned int & | v, | ||
const std::string & | name, | ||
const char ** | enum_literals ) |
Definition at line 444 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned int & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned int)) ) |
Definition at line 306 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned int * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned int)) ) |
Definition at line 313 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned long & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned long)) ) |
Definition at line 320 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned long * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned long)) ) |
Definition at line 327 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned short & | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned short)) ) |
Definition at line 292 of file sc_trace_file.cc.
References name().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const unsigned short * | v, | ||
const std::string & | name, | ||
int | width = (8 * sizeof(unsigned short)) ) |
Definition at line 299 of file sc_trace_file.cc.
References name().
|
inline |
Definition at line 156 of file sc_in.hh.
References name(), sc_get_status(), SC_START_OF_SIMULATION, and sc_trace().
Referenced by sc_core::sc_in< T >::end_of_elaboration(), sc_core::sc_in< bool >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_bigint< W > >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_biguint< W > >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_int< W > >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_logic >::end_of_elaboration(), sc_core::sc_in< sc_dt::sc_uint< W > >::end_of_elaboration(), sc_core::sc_inout< T >::end_of_elaboration(), sc_core::sc_inout< bool >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_bigint< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_biguint< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_int< W > >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_logic >::end_of_elaboration(), sc_core::sc_inout< sc_dt::sc_uint< W > >::end_of_elaboration(), sc_trace(), sc_trace(), sc_trace(), sc_trace< bool >(), sc_trace< bool >(), sc_trace< sc_dt::sc_logic >(), and sc_trace< sc_dt::sc_logic >().
|
inline |
Definition at line 184 of file sc_inout.hh.
References name(), sc_get_status(), SC_START_OF_SIMULATION, and sc_trace().
void sc_core::sc_trace | ( | sc_trace_file * | tf, |
const sc_signal_in_if< T > & | iface, | ||
const std::string & | name ) |
Definition at line 177 of file sc_trace_file.hh.
References name(), sc_core::sc_signal_in_if< T >::read(), and sc_trace().
|
inline |
Definition at line 317 of file sc_in.hh.
References name(), sc_get_status(), SC_START_OF_SIMULATION, and sc_trace().
|
inline |
Definition at line 356 of file sc_inout.hh.
References name(), sc_get_status(), SC_START_OF_SIMULATION, and sc_trace().
|
inline |
Definition at line 478 of file sc_in.hh.
References name(), sc_get_status(), SC_START_OF_SIMULATION, and sc_trace().
|
inline |
Definition at line 547 of file sc_inout.hh.
References name(), sc_get_status(), SC_START_OF_SIMULATION, and sc_trace().
void sc_core::sc_trace_delta_cycles | ( | sc_trace_file * | tf, |
bool | on = true ) |
Definition at line 452 of file sc_trace_file.cc.
const char * sc_core::sc_version | ( | ) |
Definition at line 44 of file functions.cc.
References SC_VERSION.
Referenced by sc_gem5::VcdTraceFile::initialize().
void sc_core::sc_write_comment | ( | sc_trace_file * | tf, |
const std::string & | comment ) |
Definition at line 64 of file sc_trace_file.cc.
bool sc_core::timed_out | ( | ) |
Definition at line 626 of file sc_module.cc.
References sc_gem5::Scheduler::current(), and sc_gem5::scheduler.
void sc_core::wait | ( | ) |
Definition at line 653 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
Referenced by at_negedge(), at_negedge(), at_posedge(), at_posedge(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b2nb_thread(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b2nb_thread(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b_transport(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b_transport(), halt(), sc_core::sc_mutex::lock(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb2b_thread(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb2b_thread(), tlm::tlm_fifo< T >::peek(), sc_core::sc_fifo< T >::read(), tlm_utils::tlm_quantumkeeper::sync(), sc_core::sc_join::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_module::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_prim_channel::wait(), sc_core::sc_semaphore::wait(), wait(), wait(), wait(), wait(), wait(), sc_dp::sc_barrier::wait(), sc_core::sc_join::wait_clocked(), and sc_core::sc_fifo< T >::write().
void sc_core::wait | ( | const sc_event & | e | ) |
Definition at line 676 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEvent(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
void sc_core::wait | ( | const sc_event_and_list & | eal | ) |
Definition at line 698 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventAndList(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
void sc_core::wait | ( | const sc_event_or_list & | eol | ) |
Definition at line 687 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventOrList(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
void sc_core::wait | ( | const sc_time & | t | ) |
Definition at line 709 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
Definition at line 726 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEvent(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
void sc_core::wait | ( | const sc_time & | t, |
const sc_event_and_list & | eal ) |
Definition at line 760 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventAndList(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
void sc_core::wait | ( | const sc_time & | t, |
const sc_event_or_list & | eol ) |
Definition at line 743 of file sc_module.cc.
References sc_gem5::Scheduler::current(), sc_gem5::newDynamicSensitivityEventOrList(), sc_gem5::scheduler, and sc_gem5::Scheduler::yield().
void sc_core::wait | ( | double | d, |
sc_time_unit | u ) |
Definition at line 720 of file sc_module.cc.
References wait().
void sc_core::wait | ( | double | d, |
sc_time_unit | u, | ||
const sc_event & | e ) |
Definition at line 737 of file sc_module.cc.
References wait().
void sc_core::wait | ( | double | d, |
sc_time_unit | u, | ||
const sc_event_and_list & | eal ) |
Definition at line 771 of file sc_module.cc.
References wait().
void sc_core::wait | ( | double | d, |
sc_time_unit | u, | ||
const sc_event_or_list & | eol ) |
Definition at line 754 of file sc_module.cc.
References wait().
void sc_core::wait | ( | int | n | ) |
Definition at line 664 of file sc_module.cc.
References gem5::csprintf(), sc_gem5::Scheduler::current(), SC_REPORT_ERROR, sc_gem5::scheduler, and wait().
bool sc_core::sc_allow_process_control_corners |
Definition at line 326 of file sc_process_handle.cc.
Referenced by sc_gem5::Process::disable().
const sc_bind_proxy sc_core::SC_BIND_PROXY_NIL |
Definition at line 114 of file sc_module.cc.
const std::string sc_core::sc_copyright_string = SC_COPYRIGHT |
Definition at line 41 of file functions.cc.
const char sc_core::SC_ID_ABORT_ = "simulation aborted" |
Definition at line 41 of file messages.cc.
const char sc_core::SC_ID_ASSERTION_FAILED_ = "assertion failed" |
Definition at line 39 of file messages.cc.
Referenced by sc_dt::print_other().
const char sc_core::SC_ID_ASSIGNMENT_FAILED_ = "assignment failed" |
Definition at line 35 of file messages.cc.
const char sc_core::SC_ID_ATTEMPT_TO_BIND_CLOCK_TO_OUTPUT_ |
Definition at line 75 of file messages.cc.
const char sc_core::SC_ID_ATTEMPT_TO_WRITE_TO_CLOCK_ |
Definition at line 69 of file messages.cc.
const char sc_core::SC_ID_BACK_ON_EMPTY_LIST_ |
Definition at line 46 of file messages.cc.
const char sc_core::SC_ID_BAD_SC_MODULE_CONSTRUCTOR_ |
Definition at line 151 of file messages.cc.
const char sc_core::SC_ID_BIND_IF_TO_PORT_ = "bind interface to port failed" |
Definition at line 44 of file messages.cc.
const char sc_core::SC_ID_BIND_PORT_TO_PORT_ = "bind parent port to port failed" |
Definition at line 45 of file messages.cc.
const char sc_core::SC_ID_CANNOT_CONVERT_ = "cannot perform conversion" |
Definition at line 37 of file messages.cc.
Referenced by sc_dt::sc_bv_base::assign_from_string(), and sc_dt::convert_to_bin().
const char sc_core::SC_ID_CLOCK_HIGH_TIME_ZERO_ = "sc_clock high time is zero" |
Definition at line 36 of file messages.cc.
const char sc_core::SC_ID_CLOCK_LOW_TIME_ZERO_ = "sc_clock low time is zero" |
Definition at line 37 of file messages.cc.
const char sc_core::SC_ID_CLOCK_PERIOD_ZERO_ = "sc_clock period is zero" |
Definition at line 35 of file messages.cc.
const char sc_core::SC_ID_COMPLETE_BINDING_ = "complete binding failed" |
Definition at line 46 of file messages.cc.
Referenced by sc_gem5::Port::finalize().
const char sc_core::SC_ID_CONTEXT_BEGIN_FAILED_ = "context begin failed" |
Definition at line 43 of file messages.cc.
Referenced by sc_dt::sc_context< T >::begin().
const char sc_core::SC_ID_CONTEXT_END_FAILED_ = "context end failed" |
Definition at line 44 of file messages.cc.
Referenced by sc_dt::sc_context< T >::end().
const char sc_core::SC_ID_CONVERSION_FAILED_ = "conversion failed" |
Definition at line 37 of file messages.cc.
Referenced by sc_dt::get_base_and_sign(), sc_dt::sc_int_base::operator=(), sc_dt::sc_signed::operator=(), sc_dt::sc_uint_base::operator=(), sc_dt::sc_unsigned::operator=(), sc_dt::parse_binary_bits(), sc_dt::parse_hex_bits(), sc_dt::vec_from_str(), and sc_dt::vec_reverse().
const char sc_core::SC_ID_CYCLE_MISSES_EVENTS_ |
Definition at line 93 of file messages.cc.
const char sc_core::SC_ID_DEFAULT_TIME_UNIT_CHANGED_ |
Definition at line 58 of file messages.cc.
const char sc_core::SC_ID_DISABLE_WILL_ORPHAN_PROCESS_ |
Definition at line 132 of file messages.cc.
Referenced by sc_gem5::Scheduler::initPhase(), and sc_gem5::spawnWork().
const char sc_core::SC_ID_DONT_INITIALIZE_ |
Definition at line 71 of file messages.cc.
const char sc_core::SC_ID_EMPTY_PROCESS_HANDLE_ |
Definition at line 154 of file messages.cc.
const char sc_core::SC_ID_END_MODULE_NOT_CALLED_ |
Definition at line 45 of file messages.cc.
Referenced by sc_gem5::Module::endOfElaboration().
const char sc_core::SC_ID_EVENT_LIST_FAILED_ |
Definition at line 145 of file messages.cc.
const char sc_core::SC_ID_EVENT_ON_NULL_PROCESS_ |
Definition at line 143 of file messages.cc.
const char sc_core::SC_ID_EXPORT_OUTSIDE_MODULE_ |
Definition at line 63 of file messages.cc.
const char sc_core::SC_ID_FIND_EVENT_ = "find event failed" |
Definition at line 57 of file messages.cc.
Referenced by tlm::tlm_event_finder_t< IF, T >::find_event().
const char sc_core::SC_ID_FRONT_ON_EMPTY_LIST_ |
Definition at line 44 of file messages.cc.
const char sc_core::SC_ID_GEN_UNIQUE_NAME_ |
Definition at line 82 of file messages.cc.
const char sc_core::SC_ID_GET_IF_ = "get interface failed" |
Definition at line 49 of file messages.cc.
const char sc_core::SC_ID_HALT_NOT_ALLOWED_ = "halt() is only allowed in SC_CTHREADs" |
Definition at line 68 of file messages.cc.
const char sc_core::SC_ID_HIER_NAME_INCORRECT_ |
Definition at line 49 of file messages.cc.
const char sc_core::SC_ID_IEEE_1666_DEPRECATION_ |
Definition at line 48 of file messages.cc.
Referenced by sc_dt::sc_deprecated_sc_bit().
const char sc_core::SC_ID_ILLEGAL_CHARACTERS_ = "illegal characters" |
Definition at line 40 of file messages.cc.
const char sc_core::SC_ID_IMMEDIATE_NOTIFICATION_ |
Definition at line 66 of file messages.cc.
Referenced by sc_gem5::Event::notify().
const char sc_core::SC_ID_IMMEDIATE_SELF_NOTIFICATION_ |
Definition at line 89 of file messages.cc.
Referenced by sc_gem5::Sensitivity::notify().
const char sc_core::SC_ID_INCOMPATIBLE_TYPES_ = "incompatible types" |
Definition at line 36 of file messages.cc.
const char sc_core::SC_ID_INCOMPATIBLE_VECTORS_ = "incompatible vectors" |
Definition at line 38 of file messages.cc.
const char sc_core::SC_ID_INCONSISTENT_API_CONFIG_ |
Definition at line 60 of file messages.cc.
const char sc_core::SC_ID_INIT_FAILED_ = "initialization failed" |
Definition at line 34 of file messages.cc.
Referenced by sc_dt::sc_signed::invalid_init(), and sc_dt::sc_unsigned::invalid_init().
const char sc_core::SC_ID_INSERT_EXPORT_ = "insert sc_export failed" |
Definition at line 62 of file messages.cc.
const char sc_core::SC_ID_INSERT_MODULE_ = "insert module failed" |
Definition at line 77 of file messages.cc.
const char sc_core::SC_ID_INSERT_PORT_ = "insert port failed" |
Definition at line 47 of file messages.cc.
const char sc_core::SC_ID_INSERT_PRIM_CHANNEL_ = "insert primitive channel failed" |
Definition at line 50 of file messages.cc.
const char sc_core::SC_ID_INSTANCE_EXISTS_ = "object already exists" |
Definition at line 39 of file messages.cc.
Referenced by sc_gem5::Object::Object().
const char sc_core::SC_ID_INTERNAL_ERROR_ = "internal error" |
Definition at line 38 of file messages.cc.
const char sc_core::SC_ID_INVALID_CTE_WL_ = "constant wordlength <= 0 is not valid" |
Definition at line 37 of file messages.cc.
const char sc_core::SC_ID_INVALID_DIV_WL_ = "division wordlength <= 0 is not valid" |
Definition at line 36 of file messages.cc.
const char sc_core::SC_ID_INVALID_FIFO_SIZE_ |
Definition at line 42 of file messages.cc.
const char sc_core::SC_ID_INVALID_FX_VALUE_ = "invalid fixed-point value" |
Definition at line 40 of file messages.cc.
Referenced by sc_dt::sc_fxnum::cast(), sc_dt::sc_fxnum_fast::cast(), sc_dt::sc_int_base::operator=(), sc_dt::sc_int_base::operator=(), sc_dt::sc_int_base::operator=(), sc_dt::sc_int_base::operator=(), sc_dt::sc_signed::operator=(), sc_dt::sc_signed::operator=(), sc_dt::sc_signed::operator=(), sc_dt::sc_signed::operator=(), sc_dt::sc_uint_base::operator=(), sc_dt::sc_uint_base::operator=(), sc_dt::sc_uint_base::operator=(), sc_dt::sc_uint_base::operator=(), sc_dt::sc_unsigned::operator=(), sc_dt::sc_unsigned::operator=(), sc_dt::sc_unsigned::operator=(), and sc_dt::sc_unsigned::operator=().
const char sc_core::SC_ID_INVALID_MAX_WL_ |
Definition at line 38 of file messages.cc.
const char sc_core::SC_ID_INVALID_N_BITS_ = "number of bits < 0 is not valid" |
Definition at line 35 of file messages.cc.
const char sc_core::SC_ID_INVALID_O_MODE_ = "invalid overflow mode" |
Definition at line 41 of file messages.cc.
Referenced by sc_dt::scfx_params::scfx_params().
const char sc_core::SC_ID_INVALID_SEMAPHORE_VALUE_ |
Definition at line 58 of file messages.cc.
const char sc_core::SC_ID_INVALID_WL_ = "total wordlength <= 0 is not valid" |
Definition at line 34 of file messages.cc.
const char sc_core::SC_ID_JOIN_ON_METHOD_HANDLE_ |
Definition at line 139 of file messages.cc.
const char sc_core::SC_ID_KILL_PROCESS_WHILE_UNITIALIZED_ |
Definition at line 158 of file messages.cc.
Referenced by sc_gem5::Process::kill().
const char sc_core::SC_ID_LENGTH_MISMATCH_ |
Definition at line 34 of file messages.cc.
const char sc_core::SC_ID_LOGIC_X_TO_BOOL_ |
Definition at line 50 of file messages.cc.
Referenced by sc_dt::sc_logic::invalid_01().
const char sc_core::SC_ID_LOGIC_Z_TO_BOOL_ |
Definition at line 48 of file messages.cc.
Referenced by sc_dt::sc_logic::invalid_01().
const char sc_core::SC_ID_MAKE_SENSITIVE_ = "make sensitive failed" |
Definition at line 74 of file messages.cc.
const char sc_core::SC_ID_MAKE_SENSITIVE_NEG_ = "make sensitive neg failed" |
Definition at line 76 of file messages.cc.
const char sc_core::SC_ID_MAKE_SENSITIVE_POS_ = "make sensitive pos failed" |
Definition at line 75 of file messages.cc.
const char sc_core::SC_ID_METHOD_TERMINATION_EVENT_ |
Definition at line 137 of file messages.cc.
const char sc_core::SC_ID_MODULE_CTHREAD_AFTER_START_ |
Definition at line 105 of file messages.cc.
Referenced by sc_gem5::newCThreadProcess().
const char sc_core::SC_ID_MODULE_METHOD_AFTER_START_ |
Definition at line 101 of file messages.cc.
Referenced by sc_gem5::newMethodProcess().
const char sc_core::SC_ID_MODULE_NAME_STACK_EMPTY_ |
Definition at line 84 of file messages.cc.
Referenced by sc_gem5::newModuleChecked().
const char sc_core::SC_ID_MODULE_THREAD_AFTER_START_ |
Definition at line 103 of file messages.cc.
Referenced by sc_gem5::newThreadProcess().
const char sc_core::SC_ID_MORE_THAN_ONE_FIFO_READER_ |
Definition at line 38 of file messages.cc.
const char sc_core::SC_ID_MORE_THAN_ONE_FIFO_WRITER_ |
Definition at line 40 of file messages.cc.
const char sc_core::SC_ID_MORE_THAN_ONE_SIGNAL_DRIVER_ |
Definition at line 52 of file messages.cc.
const char sc_core::SC_ID_NAME_EXISTS_ = "name already exists" |
Definition at line 88 of file messages.cc.
const char sc_core::SC_ID_NEGATIVE_SIMULATION_TIME_ |
Definition at line 149 of file messages.cc.
const char sc_core::SC_ID_NEXT_TRIGGER_NOT_ALLOWED_ |
Definition at line 64 of file messages.cc.
const char sc_core::SC_ID_NO_ASYNC_UPDATE_ |
Definition at line 77 of file messages.cc.
const char sc_core::SC_ID_NO_BOOL_RETURNED_ = "operator does not return boolean" |
Definition at line 34 of file messages.cc.
const char sc_core::SC_ID_NO_DEFAULT_EVENT_ = "channel doesn't have a default event" |
Definition at line 54 of file messages.cc.
const char sc_core::SC_ID_NO_INT_RETURNED_ = "operator does not return int" |
Definition at line 35 of file messages.cc.
const char sc_core::SC_ID_NO_PROCESS_SEMANTICS_ |
Definition at line 141 of file messages.cc.
const char sc_core::SC_ID_NO_SC_LOGIC_RETURNED_ = "operator does not return sc_logic" |
Definition at line 36 of file messages.cc.
const char sc_core::SC_ID_NO_SC_START_ACTIVITY_ |
Definition at line 156 of file messages.cc.
const char sc_core::SC_ID_NOT_EXPECTING_DYNAMIC_EVENT_NOTIFY_ |
Definition at line 130 of file messages.cc.
const char sc_core::SC_ID_NOT_IMPLEMENTED_ = "not implemented" |
Definition at line 37 of file messages.cc.
const char sc_core::SC_ID_NOTIFY_DELAYED_ |
Definition at line 79 of file messages.cc.
Referenced by sc_gem5::Event::notifyDelayed().
const char sc_core::SC_ID_OPERAND_NOT_BOOL_ = "operand is not bool" |
Definition at line 38 of file messages.cc.
const char sc_core::SC_ID_OPERAND_NOT_SC_LOGIC_ = "operand is not sc_logic" |
Definition at line 37 of file messages.cc.
const char sc_core::SC_ID_OPERATION_FAILED_ = "operation failed" |
Definition at line 36 of file messages.cc.
Referenced by sc_dt::sc_value_base::concat_clear_data(), sc_dt::sc_value_base::concat_get_ctrl(), sc_dt::sc_value_base::concat_get_data(), sc_dt::sc_value_base::concat_get_uint64(), sc_dt::sc_value_base::concat_length(), sc_dt::sc_value_base::concat_set(), sc_dt::sc_value_base::concat_set(), sc_dt::sc_value_base::concat_set(), sc_dt::sc_value_base::concat_set(), and sc_dt::div_by_zero().
const char sc_core::SC_ID_OPERATION_ON_NON_SPECIALIZED_SIGNAL_ |
Definition at line 73 of file messages.cc.
const char sc_core::SC_ID_OUT_OF_BOUNDS_ = "out of bounds" |
Definition at line 40 of file messages.cc.
Referenced by sc_dt::sc_subref_r< X >::check_bounds(), sc_dt::sc_int_base::check_value(), sc_dt::sc_uint_base::check_value(), sc_core::sc_vector_base::checkIndex(), sc_dt::sc_bitref_r< X >::get_bit(), sc_dt::sc_concref_r< X, Y >::get_bit(), sc_dt::sc_bitref_r< X >::get_cword(), sc_dt::sc_concref_r< X, Y >::get_cword(), sc_dt::sc_bitref_r< X >::get_word(), sc_dt::sc_concref_r< X, Y >::get_word(), sc_dt::sc_int_base::invalid_index(), sc_dt::sc_signed::invalid_index(), sc_dt::sc_uint_base::invalid_index(), sc_dt::sc_unsigned::invalid_index(), sc_dt::sc_int_base::invalid_length(), sc_dt::sc_uint_base::invalid_length(), sc_dt::sc_int_base::invalid_range(), sc_dt::sc_signed::invalid_range(), sc_dt::sc_uint_base::invalid_range(), sc_dt::sc_unsigned::invalid_range(), sc_dt::sc_int_concref_invalid_length(), sc_dt::sc_proxy_out_of_bounds(), sc_dt::sc_uint_concref_invalid_length(), sc_dt::sc_bitref< X >::set_bit(), sc_dt::sc_concref_r< X, Y >::set_bit(), sc_dt::sc_bitref< X >::set_cword(), sc_dt::sc_concref_r< X, Y >::set_cword(), sc_dt::sc_bitref< X >::set_word(), and sc_dt::sc_concref_r< X, Y >::set_word().
const char sc_core::SC_ID_OUT_OF_RANGE_ = "index out of range" |
Definition at line 42 of file messages.cc.
Referenced by sc_dt::sc_fxnum::bit(), sc_dt::sc_fxnum::bit(), sc_dt::sc_fxnum_fast::bit(), sc_dt::sc_fxnum_fast::bit(), sc_dt::sc_fxnum::operator()(), sc_dt::sc_fxnum::operator()(), sc_dt::sc_fxnum_fast::operator()(), sc_dt::sc_fxnum_fast::operator()(), sc_dt::sc_fxnum::operator[](), sc_dt::sc_fxnum::operator[](), sc_dt::sc_fxnum_fast::operator[](), sc_dt::sc_fxnum_fast::operator[](), sc_dt::sc_fxnum::range(), sc_dt::sc_fxnum::range(), sc_dt::sc_fxnum_fast::range(), and sc_dt::sc_fxnum_fast::range().
const char sc_core::SC_ID_PHASE_CALLBACK_FORBIDDEN_ |
Definition at line 124 of file messages.cc.
const char sc_core::SC_ID_PHASE_CALLBACK_NOT_IMPLEMENTED_ |
Definition at line 120 of file messages.cc.
const char sc_core::SC_ID_PHASE_CALLBACK_REGISTER_ |
Definition at line 122 of file messages.cc.
const char sc_core::SC_ID_PHASE_CALLBACKS_UNSUPPORTED_ |
Definition at line 118 of file messages.cc.
const char sc_core::SC_ID_PORT_OUTSIDE_MODULE_ = "port specified outside of module" |
Definition at line 34 of file messages.cc.
const char sc_core::SC_ID_PROCESS_ALREADY_UNWINDING_ |
Definition at line 99 of file messages.cc.
const char sc_core::SC_ID_PROCESS_CONTROL_CORNER_CASE_ |
Definition at line 135 of file messages.cc.
Referenced by sc_gem5::Process::disable().
const char sc_core::SC_ID_REGISTER_ID_FAILED_ = "register_id failed" |
Definition at line 34 of file messages.cc.
const char sc_core::SC_ID_REMOVE_MODULE_ = "remove module failed" |
Definition at line 78 of file messages.cc.
const char sc_core::SC_ID_REMOVE_PORT_ = "remove port failed" |
Definition at line 48 of file messages.cc.
const char sc_core::SC_ID_REMOVE_PRIM_CHANNEL_ = "remove primitive channel failed" |
Definition at line 51 of file messages.cc.
const char sc_core::SC_ID_RESET_PROCESS_WHILE_NOT_RUNNING_ |
Definition at line 160 of file messages.cc.
Referenced by sc_gem5::Process::reset().
const char sc_core::SC_ID_RESOLVED_PORT_NOT_BOUND_ |
Definition at line 55 of file messages.cc.
const char sc_core::SC_ID_RETHROW_UNWINDING_ |
Definition at line 97 of file messages.cc.
const char sc_core::SC_ID_SC_BV_CANNOT_CONTAIN_X_AND_Z_ |
Definition at line 43 of file messages.cc.
Referenced by sc_dt::sc_bv_base::set_cword().
const char sc_core::SC_ID_SC_EXPORT_ALREADY_BOUND_ |
Definition at line 71 of file messages.cc.
const char sc_core::SC_ID_SC_EXPORT_HAS_NO_INTERFACE_ |
Definition at line 60 of file messages.cc.
const char sc_core::SC_ID_SC_EXPORT_NOT_BOUND_AFTER_CONSTRUCTION_ |
Definition at line 67 of file messages.cc.
const char sc_core::SC_ID_SC_EXPORT_NOT_REGISTERED_ |
Definition at line 65 of file messages.cc.
const char sc_core::SC_ID_SC_MODULE_NAME_REQUIRED_ |
Definition at line 54 of file messages.cc.
const char sc_core::SC_ID_SC_MODULE_NAME_USE_ = "incorrect use of sc_module_name" |
Definition at line 53 of file messages.cc.
const char sc_core::SC_ID_SET_DEFAULT_TIME_UNIT_ = "set default time unit failed" |
Definition at line 57 of file messages.cc.
const char sc_core::SC_ID_SET_STACK_SIZE_ |
Definition at line 51 of file messages.cc.
const char sc_core::SC_ID_SET_TIME_RESOLUTION_ = "set time resolution failed" |
Definition at line 56 of file messages.cc.
const char sc_core::SC_ID_SIMULATION_START_AFTER_ERROR_ |
Definition at line 115 of file messages.cc.
const char sc_core::SC_ID_SIMULATION_START_AFTER_STOP_ |
Definition at line 111 of file messages.cc.
const char sc_core::SC_ID_SIMULATION_START_UNEXPECTED_ |
Definition at line 126 of file messages.cc.
const char sc_core::SC_ID_SIMULATION_STOP_CALLED_TWICE_ |
Definition at line 109 of file messages.cc.
const char sc_core::SC_ID_SIMULATION_TIME_OVERFLOW_ |
Definition at line 107 of file messages.cc.
const char sc_core::SC_ID_SIMULATION_UNCAUGHT_EXCEPTION_ = "uncaught exception" |
Definition at line 117 of file messages.cc.
Referenced by sc_gem5::reportifyException().
const char sc_core::SC_ID_STOP_MODE_AFTER_START_ |
Definition at line 113 of file messages.cc.
const char sc_core::SC_ID_STRING_TOO_LONG_ = "string is too long" |
Definition at line 43 of file messages.cc.
const char sc_core::SC_ID_THROW_IT_IGNORED_ |
Definition at line 128 of file messages.cc.
Referenced by sc_gem5::Process::throw_it().
const char sc_core::SC_ID_THROW_IT_WHILE_NOT_RUNNING_ |
Definition at line 163 of file messages.cc.
Referenced by sc_gem5::Process::throw_it().
const char sc_core::SC_ID_TIME_CONVERSION_FAILED_ = "sc_time conversion failed" |
Definition at line 148 of file messages.cc.
const char sc_core::SC_ID_UNKNOWN_ERROR_ = "unknown error" |
Definition at line 35 of file messages.cc.
Referenced by sc_core::sc_report_handler::get_count(), sc_core::sc_report_handler::get_count(), sc_core::sc_report_handler::report(), sc_core::sc_report_handler::set_actions(), sc_core::sc_report_handler::set_actions(), sc_core::sc_report_handler::stop_after(), and sc_core::sc_report_handler::stop_after().
const char sc_core::SC_ID_UNKNOWN_PROCESS_TYPE_ = "Unknown process type" |
Definition at line 147 of file messages.cc.
const char sc_core::SC_ID_VALUE_NOT_VALID_ = "value is not valid" |
Definition at line 39 of file messages.cc.
Referenced by sc_dt::sc_bit::invalid_value(), sc_dt::sc_bit::invalid_value(), sc_dt::sc_logic::invalid_value(), sc_dt::sc_logic::invalid_value(), sc_dt::is_bad_double(), and sc_dt::is_valid_base().
const char sc_core::SC_ID_VC6_MAX_PROCESSES_EXCEEDED_ |
Definition at line 43 of file messages.cc.
const char sc_core::SC_ID_VC6_PROCESS_HELPER_ |
Definition at line 41 of file messages.cc.
const char sc_core::SC_ID_VECTOR_BIND_EMPTY_ |
Definition at line 52 of file messages.cc.
const char sc_core::SC_ID_VECTOR_CONTAINS_LOGIC_VALUE_ |
Definition at line 41 of file messages.cc.
Referenced by sc_dt::sc_proxy< X >::to_anything_signed(), sc_dt::sc_proxy< X >::to_anything_unsigned(), and sc_dt::sc_proxy< X >::to_uint64().
const char sc_core::SC_ID_VECTOR_INIT_CALLED_TWICE_ |
Definition at line 50 of file messages.cc.
const char sc_core::SC_ID_VECTOR_NONOBJECT_ELEMENTS_ |
Definition at line 54 of file messages.cc.
const char sc_core::SC_ID_VECTOR_TOO_LONG_ = "vector is too long: truncated" |
Definition at line 45 of file messages.cc.
const char sc_core::SC_ID_VECTOR_TOO_SHORT_ = "vector is too short: 0-padded" |
Definition at line 46 of file messages.cc.
const char sc_core::SC_ID_WAIT_DURING_UNWINDING_ |
Definition at line 91 of file messages.cc.
const char sc_core::SC_ID_WAIT_N_INVALID_ = "wait(n) is only valid for n > 0" |
Definition at line 73 of file messages.cc.
const char sc_core::SC_ID_WAIT_NOT_ALLOWED_ |
Definition at line 62 of file messages.cc.
const char sc_core::SC_ID_WATCHING_NOT_ALLOWED_ |
Definition at line 69 of file messages.cc.
const char sc_core::SC_ID_WITHOUT_MESSAGE_ = "" |
Definition at line 36 of file messages.cc.
Referenced by sc_dt::vec_add_on(), sc_dt::vec_add_on2(), sc_dt::vec_add_small_on(), sc_dt::vec_mul_small_on(), and sc_dt::vec_sub_on2().
const char sc_core::SC_ID_WRAP_SM_NOT_DEFINED_ |
Definition at line 45 of file messages.cc.
Referenced by sc_dt::overflow(), sc_dt::scfx_rep::overflow(), and sc_dt::scfx_params::scfx_params().
const char sc_core::SC_ID_WRONG_VALUE_ = "wrong value" |
Definition at line 47 of file messages.cc.
const char sc_core::SC_ID_ZERO_LENGTH_ = "zero length" |
Definition at line 40 of file messages.cc.
Referenced by sc_dt::sc_bv_base::init(), and sc_dt::sc_lv_base::init().
const bool sc_core::sc_is_prerelease = SC_IS_PRERELEASE |
Definition at line 39 of file functions.cc.
sc_byte_heap sc_core::sc_temp_heap | ( | 0x300000 | ) |
Definition at line 103 of file sc_concatref.hh.
Referenced by sc_dt::sc_concatref::value().
const unsigned int sc_core::sc_version_major = SC_VERSION_MAJOR |
Definition at line 33 of file functions.cc.
const unsigned int sc_core::sc_version_minor = SC_VERSION_MINOR |
Definition at line 34 of file functions.cc.
const std::string sc_core::sc_version_originator = SC_VERSION_ORIGINATOR |
Definition at line 36 of file functions.cc.
const unsigned int sc_core::sc_version_patch = SC_VERSION_PATCH |
Definition at line 35 of file functions.cc.
const std::string sc_core::sc_version_prerelease = SC_VERSION_PRERELEASE |
Definition at line 38 of file functions.cc.
const std::string sc_core::sc_version_release_date = SC_VERSION_RELEASE_DATE |
Definition at line 37 of file functions.cc.
const std::string sc_core::sc_version_string = SC_VERSION |
Definition at line 40 of file functions.cc.
const sc_time sc_core::SC_ZERO_TIME |
Definition at line 290 of file sc_time.cc.
Referenced by sc_gem5::ScSignalBase::_signalChange(), sc_gem5::ScSignalBaseBinary::_signalNegedge(), sc_gem5::ScSignalBaseBinary::_signalPosedge(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b2nb_thread(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b2nb_thread(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b_transport(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::b_transport(), ExplicitATTarget::beginResponse(), SimpleATTarget1::beginResponse(), SimpleATTarget2::beginResponse(), MultiSocketSimpleSwitchAT::bwPEQcb(), tlm::tlm_global_quantum::compute_local_quantum(), SimpleATTarget1::endRequest(), SimpleATInitiator1::endResponse(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::fec(), sc_core::sc_time::from_string(), MultiSocketSimpleSwitchAT::fwPEQcb(), sc_gem5::TlmToGem5Bridge< BITWIDTH >::handleBeginReq(), tlm::tlm_dmi::init(), ExplicitLTTarget::myBTransport(), SimpleATInitiator1::myNBTransport(), SimpleATInitiator2::myNBTransport(), SimpleLTInitiator_ext::myNBTransport(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb2b_thread(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::fw_process::nb2b_thread(), tlm_utils::simple_target_socket_b< MODULE, BUSWIDTH, TYPES, POL >::bw_process::nb_transport_bw(), tlm_utils::simple_target_socket_tagged_b< MODULE, BUSWIDTH, TYPES, POL >::bw_process::nb_transport_bw(), tlm_utils::peq_with_cb_and_phase< OWNER, TYPES >::notify(), sc_gem5::Gem5ToTlmBridge< BITWIDTH >::pec(), sc_gem5::Gem5ToTlmBridge< BITWIDTH >::recvAtomic(), sc_gem5::Gem5ToTlmBridge< BITWIDTH >::recvAtomicBackdoor(), sc_gem5::TlmToGem5Bridge< BITWIDTH >::recvReqRetry(), sc_gem5::Gem5ToTlmBridge< BITWIDTH >::recvRespRetry(), SimpleBusAT< NR_OF_INITIATORS, NR_OF_TARGETS >::RequestThread(), tlm_utils::tlm_quantumkeeper::reset(), SimpleBusAT< NR_OF_INITIATORS, NR_OF_TARGETS >::ResponseThread(), SimpleATInitiator1::run(), SimpleATInitiator2::run(), SimpleLTInitiator1::run(), SimpleLTInitiator1_dmi::run(), SimpleLTInitiator2::run(), SimpleLTInitiator2_dmi::run(), SimpleLTInitiator3::run(), SimpleLTInitiator3_dmi::run(), SimpleLTInitiator_ext::run(), sc_gem5::TlmToGem5Bridge< BITWIDTH >::sendEndReq(), and tlm::tlm_fifo< T >::update().